Skip to content

Commit

Permalink
Merge pull request f4pga#724 from antmicro/arty-support
Browse files Browse the repository at this point in the history
roi_harness: Add ARTY-A7-UART configuration
  • Loading branch information
litghost committed Mar 14, 2019
2 parents 7363451 + ef9226e commit 1a95204
Show file tree
Hide file tree
Showing 2 changed files with 25 additions and 3 deletions.
6 changes: 3 additions & 3 deletions minitests/roi_harness/arty.sh
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
# XC7A35TICSG324-1L
export XRAY_PART=xc7a35tcsg324-1
export XRAY_PINCFG=ARTY-A7-SWBUT
export XRAY_DIN_N_LARGE=8
export XRAY_DOUT_N_LARGE=8
export XRAY_PINCFG=ARTY-A7-UART
export XRAY_DIN_N_LARGE=2
export XRAY_DOUT_N_LARGE=2

# For generating DB
export XRAY_PIN_00="G13"
Expand Down
22 changes: 22 additions & 0 deletions minitests/roi_harness/runme.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -196,6 +196,28 @@ if {$part eq "xc7a50tfgg484-1"} {
set pin [lindex $pmod_jc $i]
set net2pin(dout[$i]) $pin
}
} elseif {$pincfg eq "ARTY-A7-UART"} {
# https://reference.digilentinc.com/reference/programmable-logic/arty/reference-manual?redirect=1
# RST button and UART_RX
set arty_in "C2 A9"
# LD7 and UART_TX
set arty_out "T10 D10"

# 100 MHz CLK onboard
set pin "E3"
set net2pin(clk) $pin

# DIN
for {set i 0} {$i < $DIN_N} {incr i} {
set pin [lindex $arty_in $i]
set net2pin(din[$i]) $pin
}

# DOUT
for {set i 0} {$i < $DOUT_N} {incr i} {
set pin [lindex $arty_out $i]
set net2pin(dout[$i]) $pin
}
} else {
error "Unsupported config $pincfg"
}
Expand Down

0 comments on commit 1a95204

Please sign in to comment.