Skip to content

Commit

Permalink
Merge pull request f4pga#1705 from litghost/make_sure_core_dumps_are_…
Browse files Browse the repository at this point in the history
…recorded

Make sure core dumps are recorded
  • Loading branch information
litghost committed Dec 10, 2020
2 parents 9ae91eb + 5ff246b commit 4e00f57
Show file tree
Hide file tree
Showing 22 changed files with 37 additions and 0 deletions.
1 change: 1 addition & 0 deletions .github/kokoro/continuous-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-docs/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-ice40/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-ql/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-testarch/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-tests/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7-vendor/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7a200t-vendor/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7a200t/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/kokoro-cfg.py
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"\
"""

Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/package_results.sh
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ find -name "*result*.xml" \
-o -name "place.log" \
-o -name "route.log" \
-o -name "*_sv2v.v.log" \
-o -name "core" \
-o -name "*.bit" \
-o -name "*_qor.csv" \
-o -name "vivado.log" \
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-docs/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-ice40/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-ql/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-testarch/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-tests/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7-vendor/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7a200t-vendor/"
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,7 @@ action {
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/core"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7a200t/"
}
Expand Down
8 changes: 8 additions & 0 deletions .github/kokoro/steps/hostsetup.sh
Original file line number Diff line number Diff line change
Expand Up @@ -90,3 +90,11 @@ echo "----------------------------------------"
conda info
conda config --show
)


echo "========================================"
echo "Check core dump config"
echo "----------------------------------------"
ulimit -c unlimited
cat /proc/sys/kernel/core_pattern
sysctl kernel.core_pattern
9 changes: 9 additions & 0 deletions .github/kokoro/xc7.sh
Original file line number Diff line number Diff line change
Expand Up @@ -13,15 +13,24 @@ echo
echo "========================================"
echo "Running xc7 tests (make all_xc7)"
echo "----------------------------------------"
set +e
(
set -e
source env/conda/bin/activate symbiflow_arch_def_base
pushd build
export VPR_NUM_WORKERS=${CORES}
set +e
ninja -j${MAX_CORES} all_xc7
BUILD_RESULT=$?
ninja print_qor > xc7_qor.csv
popd
exit ${BUILD_RESULT}
)
BUILD_RESULT=$?
set -e

echo "----------------------------------------"

source ${SCRIPT_DIR}/steps/stop_monitor.sh
source ${SCRIPT_DIR}/package_results.sh
exit $BUILD_RESULT

0 comments on commit 4e00f57

Please sign in to comment.