Skip to content

Commit

Permalink
Merge pull request #46 from mithro/makefile-fix
Browse files Browse the repository at this point in the history
Two makefile fixes
  • Loading branch information
mithro committed Feb 21, 2018
2 parents 3850d8a + d9acd7f commit 643c06a
Show file tree
Hide file tree
Showing 21 changed files with 425 additions and 476 deletions.
Original file line number Diff line number Diff line change
@@ -1,26 +1,7 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../../utils/mux_gen.py --outdir . '--name-mux' 'CARRY4_{W}MUX' '--width' '2' '--split-inputs' '--subckt' 'MUXCY' '--name-inputs' 'CI,DI'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../../utils/mux_gen.py Makefile.mux
../../../../../../utils/mux_gen.py --outdir . '--name-mux' 'CARRY4_{W}MUX' '--width' '2' '--split-inputs' '--subckt' 'MUXCY' '--name-inputs' 'CI,DI'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = logic
MUX_NAME = CARRY4_{W}MUX
MUX_WIDTH = 2
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = CI,DI
MUX_SUBCKT = MUXCY
include ../../../../../../common/make/mux.mk
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
/*
* Generated with mux_gen.py, run the following to regenerate in this directory;
* ../../../../../../utils/mux_gen.py --outdir . '--name-mux' 'CARRY4_{W}MUX' '--width' '2' '--split-inputs' '--subckt' 'MUXCY' '--name-inputs' 'CI,DI'
* Generated with mux_gen.py, run 'make' in the following to regenerate in this directory;
* %s
*/

`include "../../../../../../vpr/muxes/logic/mux2/sim.v"
Expand Down
33 changes: 7 additions & 26 deletions artix7/primitives/slicel/muxes/f7amux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,7 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F7AMUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F7AMUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_COMMENT = F7AMUX is used to create a LUT7 from two LUT6s.
MUX_TYPE = logic
MUX_NAME = F7AMUX
MUX_WIDTH = 2
MUX_SPLIT_INPUTS = 1
MUX_SELECT = S0
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/muxes/f7bmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F7BMUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F7BMUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = logic
MUX_NAME = F7BMUX
MUX_WIDTH = 2
MUX_SPLIT_INPUTS = 1
MUX_SELECT = S0
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/muxes/f8mux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F8MUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--name-mux' 'F8MUX' '--width' '2' '--split-inputs' '--name-select' 'S0' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = logic
MUX_NAME = F8MUX
MUX_WIDTH = 2
MUX_SPLIT_INPUTS = 1
MUX_SELECT = S0
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/affmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'AFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F7,CY,AX' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'AFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F7,CY,AX' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = AFFMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = XOR,O6,O5,F7,CY,AX
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/aoutmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'AOUTMUX' '--width' '6' '--name-inputs' 'A5Q,XOR,O6,O5,F7,CY' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'AOUTMUX' '--width' '6' '--name-inputs' 'A5Q,XOR,O6,O5,F7,CY' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = AOUTMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = A5Q,XOR,O6,O5,F7,CY
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/bffmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'BFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F8,CY,BX' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'BFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F8,CY,BX' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = BFFMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = XOR,O6,O5,F8,CY,BX
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/boutmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'BOUTMUX' '--width' '6' '--name-inputs' 'B5Q,XOR,O6,O5,F8,CY' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'BOUTMUX' '--width' '6' '--name-inputs' 'B5Q,XOR,O6,O5,F8,CY' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = BOUTMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = B5Q,XOR,O6,O5,F8,CY
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/cffmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'CFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F7,CY,CX' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'CFFMUX' '--width' '6' '--name-inputs' 'XOR,O6,O5,F7,CY,CX' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = CFFMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = XOR,O6,O5,F7,CY,CX
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/coutmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'COUTMUX' '--width' '6' '--name-inputs' 'C5Q,XOR,O6,O5,F7,CY' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'COUTMUX' '--width' '6' '--name-inputs' 'C5Q,XOR,O6,O5,F7,CY' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = COUTMUX
MUX_WIDTH = 6
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = C5Q,XOR,O6,O5,F7,CY
include ../../../../../common/make/mux.mk
32 changes: 6 additions & 26 deletions artix7/primitives/slicel/routing/dffmux/Makefile.mux
Original file line number Diff line number Diff line change
@@ -1,26 +1,6 @@

# Generated with mux_gen.py, run the following to regenerate in this directory;
# ../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'DFFMUX' '--width' '5' '--name-inputs' 'XOR,O6,O5,DX,CY' '--name-out' 'OUT'
#

all: model.xml pb_type.xml .gitignore Makefile.mux sim.v

clean:
rm -f .mux_gen.stamp model.xml pb_type.xml sim.v

.mux_gen.stamp: ../../../../../utils/mux_gen.py Makefile.mux
../../../../../utils/mux_gen.py --outdir . '--type' 'routing' '--name-mux' 'DFFMUX' '--width' '5' '--name-inputs' 'XOR,O6,O5,DX,CY' '--name-out' 'OUT'
touch --reference $< $@

.PHONY: all clean

model.xml: .mux_gen.stamp

pb_type.xml: .mux_gen.stamp

.gitignore: .mux_gen.stamp

Makefile.mux: .mux_gen.stamp

sim.v: .mux_gen.stamp

MUX_TYPE = routing
MUX_NAME = DFFMUX
MUX_WIDTH = 5
MUX_SPLIT_INPUTS = 1
MUX_INPUTS = XOR,O6,O5,DX,CY
include ../../../../../common/make/mux.mk

0 comments on commit 643c06a

Please sign in to comment.