Skip to content

Commit

Permalink
Merge pull request f4pga#1782 from antmicro/ibex_fix
Browse files Browse the repository at this point in the history
Make Ibex sv2v conversion deterministic
  • Loading branch information
litghost committed Nov 30, 2020
2 parents 848c29a + 785cd0f commit 960a5d7
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion xc/xc7/tests/soc/ibex/generate.py
Original file line number Diff line number Diff line change
Expand Up @@ -65,7 +65,7 @@ def get_fusesoc_sources(root_dir, eda_yaml_path, f_log):
eda_yaml_path=eda_yaml_path
)

return set(
return list(
s.decode() for s in
subprocess.check_output(get_sources_invocation, shell=True).split()
)
Expand Down

0 comments on commit 960a5d7

Please sign in to comment.