forked from f4pga/f4pga-arch-defs
-
Notifications
You must be signed in to change notification settings - Fork 2
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
Merge pull request f4pga#1506 from andrewb1999/zynq-boards
Zynq 7020 support
- Loading branch information
Showing
45 changed files
with
553 additions
and
35 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_xray_tile( | ||
PART zynq7 | ||
TILE CMT_TOP_L_UPPER_T | ||
SITE_TYPES PLLE2_ADV/PLLE2_ADV | ||
EQUIVALENT_SITES CMT_TOP_L_UPPER_T | ||
NO_FASM_PREFIX | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_xray_tile( | ||
PART zynq7 | ||
TILE CMT_TOP_R_UPPER_T | ||
SITE_TYPES PLLE2_ADV/PLLE2_ADV | ||
EQUIVALENT_SITES CMT_TOP_R_UPPER_T | ||
NO_FASM_PREFIX | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,5 +1,5 @@ | ||
project_ray_tile( | ||
ARCH artix7 | ||
ARCH zynq7 | ||
TILE SLICEL | ||
SITE_AS_TILE | ||
SITE_TYPES SLICEL/SLICEL0 | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,8 +1,7 @@ | ||
project_ray_tile( | ||
ARCH artix7 | ||
ARCH zynq7 | ||
TILE SLICEM | ||
SITE_AS_TILE | ||
SITE_TYPES SLICEM/SLICEM | ||
# TODO When equivalent tiles are supported, also SLICEL will be added to EQUIVALENT_SITES | ||
EQUIVALENT_SITES SLICEM | ||
EQUIVALENT_SITES SLICEM SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,22 @@ | ||
project_ray_prepare_database( | ||
PRJRAY_ARCH zynq7 | ||
PRJRAY_DIR ${PRJXRAY_DIR} | ||
PRJRAY_DB_DIR ${PRJXRAY_DB_DIR} | ||
PROTOTYPE_PART xc7z020clg484-1 | ||
PARTS xc7z020clg484-1 | ||
) | ||
|
||
add_xc_arch_define( | ||
ARCH zynq7_z020 | ||
FAMILY xc7 | ||
PRJRAY_DIR ${PRJXRAY_DIR} | ||
PRJRAY_DB_DIR ${PRJXRAY_DB_DIR} | ||
PRJRAY_NAME prjxray | ||
PRJRAY_ARCH zynq7 | ||
PROTOTYPE_PART xc7z020clg484-1 | ||
YOSYS_SYNTH_SCRIPT ${symbiflow-arch-defs_SOURCE_DIR}/xc/xc7/yosys/synth.tcl | ||
YOSYS_CONV_SCRIPT ${symbiflow-arch-defs_SOURCE_DIR}/xc/xc7/yosys/conv.tcl | ||
) | ||
|
||
add_subdirectory(tiles) | ||
add_subdirectory(devices) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,6 @@ | ||
add_xc_device_define( | ||
ARCH zynq7_z020 | ||
PART xc7z020clg484-1 | ||
DEVICES xc7z020 | ||
) | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,34 @@ | ||
add_subdirectory(bram_l) | ||
add_subdirectory(bram_r) | ||
add_subdirectory(clbll_l) | ||
add_subdirectory(clbll_r) | ||
add_subdirectory(clblm_l) | ||
add_subdirectory(clblm_r) | ||
add_subdirectory(slicel) | ||
add_subdirectory(slicem) | ||
add_subdirectory(clk_bufg_top_r) | ||
add_subdirectory(clk_bufg_bot_r) | ||
add_subdirectory(bufgctrl) | ||
add_subdirectory(hclk_ioi3) | ||
add_subdirectory(pss2) | ||
|
||
set(IOPAD_SITES IOB33 IDELAYE2 ILOGICE3 OLOGICE3) | ||
set(IOPAD_S_SITES IOB33S IDELAYE2 ILOGICE3 OLOGICE3) | ||
set(IOPAD_M_SITES IOB33M IDELAYE2 ILOGICE3 OLOGICE3) | ||
|
||
project_ray_equiv_tile( | ||
ARCH zynq7_z020 | ||
TILES RIOPAD_M RIOPAD_S RIOPAD_SING LIOPAD_M LIOPAD_S LIOPAD_SING | ||
PB_TYPES IOPAD IOPAD_S IOPAD_M | ||
PB_TYPE_SITES IOPAD_SITES IOPAD_S_SITES IOPAD_M_SITES | ||
SITE_EQUIV IOB33M=IOB33 IOB33S=IOB33 | ||
) | ||
|
||
set(PLLE2_ADV_SITES PLLE2_ADV) | ||
|
||
project_ray_equiv_tile( | ||
ARCH zynq7_z020 | ||
TILES CMT_TOP_L_UPPER_T CMT_TOP_R_UPPER_T | ||
PB_TYPES PLLE2_ADV | ||
PB_TYPE_SITES PLLE2_ADV_SITES | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE BRAM_L | ||
SITE_TYPES BRAM_L/BRAM_L | ||
FUSED_SITES | ||
EQUIVALENT_SITES BRAM_L | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE BRAM_R | ||
SITE_TYPES BRAM_R/BRAM_R | ||
FUSED_SITES | ||
EQUIVALENT_SITES BRAM_R | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,21 @@ | ||
set(DEPS "") | ||
append_file_dependency(DEPS ${symbiflow-arch-defs_SOURCE_DIR}/xc/common/primitives/bufgctrl/bufgctrl.pb_type.xml) | ||
get_file_location(BUFGCTRL_PB_TYPE ${symbiflow-arch-defs_SOURCE_DIR}/xc/common/primitives/bufgctrl/bufgctrl.pb_type.xml) | ||
|
||
add_custom_command( | ||
OUTPUT bufgctrl.pb_type.xml | ||
COMMAND ${CMAKE_COMMAND} -E copy ${BUFGCTRL_PB_TYPE} ${CMAKE_CURRENT_BINARY_DIR} | ||
DEPENDS ${DEPS} | ||
) | ||
add_file_target(FILE bufgctrl.pb_type.xml GENERATED) | ||
|
||
set(DEPS "") | ||
append_file_dependency(DEPS ${symbiflow-arch-defs_SOURCE_DIR}/xc/common/primitives/bufgctrl/bufgctrl.model.xml) | ||
get_file_location(BUFGCTRL_MODEL ${symbiflow-arch-defs_SOURCE_DIR}/xc/common/primitives/bufgctrl/bufgctrl.model.xml) | ||
|
||
add_custom_command( | ||
OUTPUT bufgctrl.model.xml | ||
COMMAND ${CMAKE_COMMAND} -E copy ${BUFGCTRL_MODEL} ${CMAKE_CURRENT_BINARY_DIR} | ||
DEPENDS ${DEPS} | ||
) | ||
add_file_target(FILE bufgctrl.model.xml GENERATED) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLBLL_L | ||
SITE_TYPES SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLBLL_R | ||
SITE_TYPES SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLBLM_L | ||
SITE_TYPES SLICEM/SLICEL SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLBLM_R | ||
SITE_TYPES SLICEM/SLICEL SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLK_BUFG_BOT_R | ||
SITE_TYPES BUFGCTRL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile_capacity( | ||
ARCH zynq7_z020 | ||
TILE CLK_BUFG_TOP_R | ||
SITE_TYPES BUFGCTRL | ||
) |
7 changes: 7 additions & 0 deletions
7
xc/xc7/archs/zynq7_z020/tiles/cmt_top_l_upper_t/CMakeLists.txt
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_xray_tile( | ||
PART zynq7_z020 | ||
TILE CMT_TOP_L_UPPER_T | ||
SITE_TYPES PLLE2_ADV/PLLE2_ADV | ||
EQUIVALENT_SITES CMT_TOP_L_UPPER_T | ||
NO_FASM_PREFIX | ||
) |
7 changes: 7 additions & 0 deletions
7
xc/xc7/archs/zynq7_z020/tiles/cmt_top_r_upper_t/CMakeLists.txt
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_xray_tile( | ||
PART zynq7_z020 | ||
TILE CMT_TOP_R_UPPER_T | ||
SITE_TYPES PLLE2_ADV/PLLE2_ADV | ||
EQUIVALENT_SITES CMT_TOP_R_UPPER_T | ||
NO_FASM_PREFIX | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE HCLK_IOI3 | ||
SITE_TYPES IDELAYCTRL/IDELAYCTRL | ||
EQUIVALENT_SITES HCLK_IOI3 | ||
SITE_COORDS Y | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE INT_L | ||
SITE_TYPES TIEOFF/TIEOFF | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE INT_R | ||
SITE_TYPES TIEOFF/TIEOFF | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,5 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE LIOB33_SING | ||
SITE_TYPES IOB33 | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE PSS2 | ||
SITE_TYPES PS7/PS7 | ||
EQUIVALENT_SITES PSS2 | ||
NO_FASM_PREFIX | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE SLICEL | ||
SITE_AS_TILE | ||
SITE_TYPES SLICEL/SLICEL0 | ||
EQUIVALENT_SITES SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,7 @@ | ||
project_ray_tile( | ||
ARCH zynq7_z020 | ||
TILE SLICEM | ||
SITE_AS_TILE | ||
SITE_TYPES SLICEM/SLICEM | ||
EQUIVALENT_SITES SLICEM SLICEL | ||
) |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Oops, something went wrong.