Skip to content

Commit

Permalink
Merge pull request #200 from elmsfu/tinyfpga_bx
Browse files Browse the repository at this point in the history
ice40/tests: add support for TinyFPGA BX for blink and iceram
  • Loading branch information
mithro committed Jul 18, 2018
2 parents d7421bb + 5dac138 commit c7f19d4
Show file tree
Hide file tree
Showing 3 changed files with 40 additions and 1 deletion.
20 changes: 19 additions & 1 deletion ice40/make/tests.mk
Original file line number Diff line number Diff line change
Expand Up @@ -53,7 +53,25 @@ PROG_TOOL=$(CONDA_DIR)/bin/tinyfpgab
PROG_CMD ?= $(PROG_TOOL) --program

$(PROG_TOOL):
pip install tinyfpgab
$(CONDA_PIP) install tinyfpgab

endif
endif


# TinyFPGA BX
# iCE40-LP8K-CM81
# ---------------------------------------------
ifeq ($(BOARD),tinyfpga-bx)
DEVICE=hx8k
PACKAGE=cm81

ifeq ($(PROG_TOOL),)
PROG_TOOL=$(CONDA_DIR)/bin/tinyprog
PROG_CMD ?= $(PROG_TOOL) -p

$(PROG_TOOL):
$(CONDA_PIP) install tinyprog

endif
endif
Expand Down
10 changes: 10 additions & 0 deletions ice40/tests/blink/tinyfpga-bx.pcf
Original file line number Diff line number Diff line change
@@ -0,0 +1,10 @@
set_io --warn-no-port LED3 J9
set_io --warn-no-port LED4 E8
set_io --warn-no-port LED5 J2

# LED
set_io --warn-no-port LED2 B3

# 16MHz clock
set_io --warn-no-port clk B2 # input

11 changes: 11 additions & 0 deletions ice40/tests/iceram/tinyfpga-bx.pcf
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
set_io --warn-no-port LED2 G1
set_io --warn-no-port LED3 J9
set_io --warn-no-port LED4 E8
set_io --warn-no-port LED5 J2

# LED
set_io --warn-no-port LED1 B3

# 16MHz clock
set_io --warn-no-port clk B2 # input

0 comments on commit c7f19d4

Please sign in to comment.