Skip to content

Commit

Permalink
Merge pull request f4pga#1769 from litghost/add_vivado_logs
Browse files Browse the repository at this point in the history
Add Vivado logs to captured results for debugging purposes.
  • Loading branch information
litghost committed Nov 12, 2020
2 parents 46f6e97 + 9dd2d01 commit f71a554
Show file tree
Hide file tree
Showing 20 changed files with 95 additions and 20 deletions.
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-docs/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-ice40/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-ql/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-testarch/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-tests/"
}
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/continuous-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7-vendor/"
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7a200t-vendor/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/continuous-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-continuous-xc7a200t/"
}
}
Expand Down
61 changes: 44 additions & 17 deletions .github/kokoro/kokoro-cfg.py
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,28 @@
Generates kokoro config files based on template.
"""

db_full = """\
DEFAULT_ARTIFACTS = """\
regex: "**/*result*.xml"
regex: "**/*sponge_log.xml"
regex: "**/.ninja_log"
regex: "**/pack.log"
regex: "**/place.log"
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"\
"""

INSTALL = """\
regex: "**/symbiflow-arch-defs-install*.tar.xz"\
"""

INSTALL_200T = """\
regex: "**/symbiflow-arch-defs-install-200t*.tar.xz"\
"""

DB_FULL = """\
# Format: //devtools/kokoro/config/proto/build.proto
# Generated from .github/kokoro/kokoro-cfg.py
Expand All @@ -17,14 +38,7 @@
action {
define_artifacts {
# File types
regex: "**/*result*.xml"
regex: "**/*sponge_log.xml"
regex: "**/.ninja_log"
regex: "**/pack.log"
regex: "**/place.log"
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
%(artifacts)s
strip_prefix: "github/symbiflow-arch-defs-%(kokoro_type)s-%(arch)s/"
}
}
Expand All @@ -47,14 +61,27 @@

for type in ['tests', 'docs', 'ice40', 'testarch', 'xc7', 'xc7-vendor',
'xc7a200t', 'xc7a200t-vendor', 'ql', 'install', 'install-200t']:
if type == 'install':
artifacts = INSTALL
elif type == 'install-200t':
artifacts = INSTALL_200T
else:
artifacts = DEFAULT_ARTIFACTS

with open("continuous-%s.cfg" % type, "w") as f:
f.write(db_full % {
'arch': type,
'kokoro_type': 'continuous',
})
f.write(
DB_FULL % {
'arch': type,
'artifacts': artifacts,
'kokoro_type': 'continuous',
}
)

with open("presubmit-%s.cfg" % type, "w") as f:
f.write(db_full % {
'arch': type,
'kokoro_type': 'presubmit',
})
f.write(
DB_FULL % {
'arch': type,
'artifacts': artifacts,
'kokoro_type': 'presubmit',
}
)
20 changes: 17 additions & 3 deletions .github/kokoro/package_results.sh
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ echo "========================================"
echo "Packing results"
echo "----------------------------------------"
date
cd build
pushd build
find -name "*result*.xml" \
-o -name "*sponge_log.xml" \
-o -name ".ninja_log" \
Expand All @@ -17,10 +17,24 @@ find -name "*result*.xml" \
-o -name "*_sv2v.v.log" \
-o -name "*.bit" \
-o -name "*_qor.csv" \
-o -name "vivado.log" \
| xargs tar -cvf ../results.tar
cd ..
popd
rm -r build
mkdir build
cd build
pushd build
tar -xf ../results.tar
rm ../results.tar

popd
# Cleanup conda/RapidWright/etc.
rm -r env
# Cleanup .git and third_party before artifact collection.
rm -r third_party .git

# Make sure working directory doesn't exceed disk space limit!
echo "Working directory size: $(du -sh)"
if [[ $(du -s | cut -d $'\t' -f 1) -gt $(expr 1024 \* 1024 \* 45) ]]; then
echo "Working directory too large!"
exit 1
fi
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-docs.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-docs/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-ice40.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-ice40/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-ql.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-ql/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-testarch.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-testarch/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-tests.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-tests/"
}
}
Expand Down
1 change: 1 addition & 0 deletions .github/kokoro/presubmit-xc7-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7-vendor/"
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-xc7.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-xc7a200t-vendor.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7a200t-vendor/"
}
}
Expand Down
2 changes: 2 additions & 0 deletions .github/kokoro/presubmit-xc7a200t.cfg
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,8 @@ action {
regex: "**/route.log"
regex: "**/*_sv2v.v.log"
regex: "**/*_qor.csv"
regex: "**/vivado.log"
regex: "**/*.bit"
strip_prefix: "github/symbiflow-arch-defs-presubmit-xc7a200t/"
}
}
Expand Down

0 comments on commit f71a554

Please sign in to comment.