Skip to content

mseminatore/syntaxhighlighter-xdc

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

9 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

SyntaxHighlighter Evolved: XDC Brush

This is a WordPress Plugin that adds support for Xilinx Design Constraint Files to the SyntaxHighlighter Evolved plugin.

Description

The plugin is simple to use. After you have installed and activated the SyntaxHighlighter Evolved plugin, simply upload this plugin and activate it. Then you can add Verilog highlighting to any code by setting the language from the Settings, or by wrapping it in the 'xdc' shortcode.

Note: You must install and activate SyntaxHighlighter Evolved first.

Installation

  1. First, make sure the Syntaxhighlighter Evolved plugin is installed and activated
  2. Upload and install syntaxhighlighter-xdc.zip using the WordPress Add New plugin manager
  3. Activate this plugin from the list of Installed plugins