Skip to content

Commit

Permalink
cleanup
Browse files Browse the repository at this point in the history
  • Loading branch information
Oliver Dippel committed Nov 6, 2023
1 parent f06708a commit 437f444
Showing 1 changed file with 0 additions and 5 deletions.
5 changes: 0 additions & 5 deletions arty-a7-35t/rio.tcl
Expand Up @@ -15,11 +15,6 @@ opt_design
place_design
report_clock_utilization -file $outputDir/clock_util.rpt

# Optionally run optimization if there are timing violations after placement
#if {[get_property SLACK [get_timing_paths -max_paths 1 -nworst 1 -setup]] < 0} {
# puts "Found setup timing violations => running physical optimization"
# phys_opt_design
#}
write_checkpoint -force $outputDir/post_place.dcp
report_utilization -file $outputDir/post_place_util.rpt
report_timing_summary -file $outputDir/post_place_timing_summary.rpt
Expand Down

0 comments on commit 437f444

Please sign in to comment.