Skip to content

Commit

Permalink
need to instantiate time var
Browse files Browse the repository at this point in the history
  • Loading branch information
ndbroadbent committed Aug 1, 2011
1 parent 2d00382 commit 07c5a62
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion octopus_sinatra.rb
Expand Up @@ -81,8 +81,9 @@ def lcd_default # Default lcd display
$lcdTimeThread = Thread.new {
while true
$dsp420.write lcd_datetime_fmt, 1, 20, false
sleep 60
sleep(60)
# If its the start of a new day, refresh the message.
time = hk_time
if time.hour == 0 and time.min == 0
$dsp420.write motd, 21, 40, false
end
Expand Down

0 comments on commit 07c5a62

Please sign in to comment.