Skip to content

Commit

Permalink
Update for 1.11.2 release
Browse files Browse the repository at this point in the history
  • Loading branch information
nickg committed Jan 4, 2024
1 parent 53b82a8 commit 32ebd28
Show file tree
Hide file tree
Showing 4 changed files with 14 additions and 4 deletions.
2 changes: 2 additions & 0 deletions NEWS.md
Original file line number Diff line number Diff line change
@@ -1,4 +1,6 @@
## Unreleased changes

## Version 1.11.2 - 2024-01-04
- Fixed an incorrect length check in the equivalent process for
non-static port map actuals (#817).
- Library file names for design units that contain extended identifiers
Expand Down
2 changes: 1 addition & 1 deletion configure.ac
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
AC_INIT([nvc], [1.11.1],
AC_INIT([nvc], [1.11.2],
[https://github.com/nickg/nvc/issues],
[nvc],
[https://www.nickg.me.uk/nvc/])
Expand Down
8 changes: 8 additions & 0 deletions test/dist.mk
Original file line number Diff line number Diff line change
Expand Up @@ -25,6 +25,7 @@ EXTRA_DIST += \
test/bounds/issue734.vhd \
test/bounds/issue800.vhd \
test/bounds/issue806.vhd \
test/bounds/issue819.vhd \
test/bounds/issue98.vhd \
test/bounds/issue99.vhd \
test/bounds/nullrange.vhd \
Expand Down Expand Up @@ -1042,6 +1043,8 @@ EXTRA_DIST += \
test/regress/gold/issue734.txt \
test/regress/gold/issue747.txt \
test/regress/gold/issue801.txt \
test/regress/gold/issue816.txt \
test/regress/gold/issue817.txt \
test/regress/gold/issue96.txt \
test/regress/gold/proc7.txt \
test/regress/gold/proc8.txt \
Expand Down Expand Up @@ -1316,6 +1319,10 @@ EXTRA_DIST += \
test/regress/issue801.vhd \
test/regress/issue809.vhd \
test/regress/issue810.vhd \
test/regress/issue816.vhd \
test/regress/issue817.vhd \
test/regress/issue819.vhd \
test/regress/issue820.vhd \
test/regress/issue82.vhd \
test/regress/issue83.vhd \
test/regress/issue84.vhd \
Expand Down Expand Up @@ -1849,6 +1856,7 @@ EXTRA_DIST += \
test/simp/issue742.vhd \
test/simp/issue782.vhd \
test/simp/issue812.vhd \
test/simp/issue821.vhd \
test/simp/length.vhd \
test/simp/order1.vhd \
test/simp/order2.vhd \
Expand Down
6 changes: 3 additions & 3 deletions www/defs.m4
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
define(__version, `1.11.1')dnl
define(__release_date, `16th December 2023')dnl
define(__release_date_short, `2023-12-16')dnl
define(__version, `1.11.2')dnl
define(__release_date, `4th January 2024')dnl
define(__release_date_short, `2024-01-04')dnl
define(__release_notes, `news.html#version-'__version`---'__release_date_short)dnl
define(__github_url, `https://github.com/nickg/nvc')dnl
define(__sourcehut_url, `https://git.sr.ht/~nickg/nvc')dnl
Expand Down

0 comments on commit 32ebd28

Please sign in to comment.