Skip to content

Commit

Permalink
Add bootstrap dependency to nvc/Makemodule.am
Browse files Browse the repository at this point in the history
  • Loading branch information
nickg committed Jul 26, 2014
1 parent 8190d7e commit 77bc9da
Show file tree
Hide file tree
Showing 2 changed files with 7 additions and 7 deletions.
2 changes: 1 addition & 1 deletion lib/nvc/Makemodule.am
Expand Up @@ -28,7 +28,7 @@ libs-nvc: $(nvc_DATA) $(nvc_SCRIPTS)

lib/nvc/_NVC_LIB: lib/nvc/NVC.ENV

lib/nvc/NVC.ENV: $(top_srcdir)/lib/std/env.vhd
lib/nvc/NVC.ENV lib/nvc/NVC.ENV-body: $(bootstrap) $(top_srcdir)/lib/std/env.vhd
$(nvc) -L lib/ --work=lib/nvc -a $(top_srcdir)/lib/std/env.vhd
$(codegen) -L lib/ --work=lib/nvc --codegen env

Expand Down
12 changes: 6 additions & 6 deletions lib/synopsys/Makemodule.am
Expand Up @@ -54,27 +54,27 @@ synopsys-libs: $(synopsys_ieee_DATA) $(synopsys_DATA)

lib/synopsys/_NVC_LIB:

lib/ieee/IEEE.STD_LOGIC_ARITH: $(srcdir)/lib/synopsys/std_logic_arith.vhd
lib/ieee/IEEE.STD_LOGIC_ARITH: $(bootstrap) $(srcdir)/lib/synopsys/std_logic_arith.vhd
$(nvc) -L lib/ --work=lib/ieee -a $(srcdir)/lib/synopsys/std_logic_arith.vhd
$(codegen) -L lib/ --work=lib/ieee --codegen std_logic_arith

lib/ieee/IEEE.STD_LOGIC_UNSIGNED: $(srcdir)/lib/synopsys/std_logic_unsigned.vhd
lib/ieee/IEEE.STD_LOGIC_UNSIGNED: $(bootstrap) $(srcdir)/lib/synopsys/std_logic_unsigned.vhd
$(nvc) -L lib/ --work=lib/ieee -a $(srcdir)/lib/synopsys/std_logic_unsigned.vhd
$(codegen) -L lib/ --work=lib/ieee --codegen std_logic_unsigned

lib/ieee/IEEE.STD_LOGIC_SIGNED: $(srcdir)/lib/synopsys/std_logic_signed.vhd
lib/ieee/IEEE.STD_LOGIC_SIGNED: $(bootstrap) $(srcdir)/lib/synopsys/std_logic_signed.vhd
$(nvc) -L lib/ --work=lib/ieee -a $(srcdir)/lib/synopsys/std_logic_signed.vhd
$(codegen) -L lib/ --work=lib/ieee --codegen std_logic_signed

lib/ieee/IEEE.STD_LOGIC_TEXTIO: $(srcdir)/lib/synopsys/std_logic_textio.vhd
lib/ieee/IEEE.STD_LOGIC_TEXTIO: $(bootstrap) $(srcdir)/lib/synopsys/std_logic_textio.vhd
$(nvc) -L lib/ --work=lib/ieee -a $(srcdir)/lib/synopsys/std_logic_textio.vhd
$(codegen) -L lib/ --work=lib/ieee --codegen std_logic_textio

lib/ieee/IEEE.STD_LOGIC_MISC: $(srcdir)/lib/synopsys/std_logic_misc.vhd
lib/ieee/IEEE.STD_LOGIC_MISC: $(bootstrap) $(srcdir)/lib/synopsys/std_logic_misc.vhd
$(nvc) -L lib/ --work=lib/ieee -a $(srcdir)/lib/synopsys/std_logic_misc.vhd
$(codegen) -L lib/ --work=lib/ieee --codegen std_logic_misc

lib/synopsys/SYNOPSYS.ATTRIBUTES: $(srcdir)/lib/synopsys/attributes.vhd
lib/synopsys/SYNOPSYS.ATTRIBUTES: $(bootstrap) $(srcdir)/lib/synopsys/attributes.vhd
$(nvc) -L lib/ --work=lib/synopsys -a $(srcdir)/lib/synopsys/attributes.vhd

clean-synopsys:
Expand Down

0 comments on commit 77bc9da

Please sign in to comment.