Skip to content

Commit

Permalink
Fix Windows build
Browse files Browse the repository at this point in the history
  • Loading branch information
nickg committed Jun 8, 2021
1 parent daf021d commit a49cd8e
Show file tree
Hide file tree
Showing 6 changed files with 115 additions and 5 deletions.
20 changes: 20 additions & 0 deletions lib/ieee.08/deps.mk
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
# Generated by nvc 1.6-devel

lib/ieee.08/_IEEE.STD_LOGIC_1164-body.a:

lib/ieee.08/IEEE.STD_LOGIC_1164-body: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee.08/std_logic_1164-body.vhdl

lib/ieee.08/_IEEE.STD_LOGIC_1164-body.a: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee.08/std_logic_1164-body.vhdl
Expand All @@ -8,6 +10,8 @@ lib/ieee.08/_IEEE.STD_LOGIC_1164-body.so: lib/std.08/STD.STANDARD lib/ieee.08/IE

lib/ieee.08/_IEEE.STD_LOGIC_1164-body.vcode: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee.08/std_logic_1164-body.vhdl

lib/ieee.08/_IEEE.NUMERIC_STD-body.a:

lib/ieee.08/IEEE.NUMERIC_STD-body: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee.08/numeric_std-body.vhdl

lib/ieee.08/_IEEE.NUMERIC_STD-body.a: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee.08/numeric_std-body.vhdl
Expand All @@ -16,8 +20,12 @@ lib/ieee.08/_IEEE.NUMERIC_STD-body.so: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.

lib/ieee.08/_IEEE.NUMERIC_STD-body.vcode: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee.08/numeric_std-body.vhdl

lib/ieee.08/_IEEE.STD_LOGIC_1164.a: lib/std.08/_STD.TEXTIO-body.a

lib/ieee.08/IEEE.STD_LOGIC_1164: lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/std_logic_1164.vhdl

lib/ieee.08/_IEEE.NUMERIC_STD.a: lib/ieee.08/_IEEE.STD_LOGIC_1164-body.a lib/std.08/_STD.TEXTIO-body.a

lib/ieee.08/IEEE.NUMERIC_STD: lib/ieee.08/IEEE.STD_LOGIC_1164 lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_std.vhdl

lib/ieee.08/_IEEE.NUMERIC_STD.a: lib/ieee.08/IEEE.STD_LOGIC_1164 lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_std.vhdl
Expand All @@ -26,6 +34,8 @@ lib/ieee.08/_IEEE.NUMERIC_STD.so: lib/ieee.08/IEEE.STD_LOGIC_1164 lib/std.08/STD

lib/ieee.08/_IEEE.NUMERIC_STD.vcode: lib/ieee.08/IEEE.STD_LOGIC_1164 lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_std.vhdl

lib/ieee.08/_IEEE.NUMERIC_BIT-body.a:

lib/ieee.08/IEEE.NUMERIC_BIT-body: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee.08/numeric_bit-body.vhdl

lib/ieee.08/_IEEE.NUMERIC_BIT-body.a: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee.08/numeric_bit-body.vhdl
Expand All @@ -34,6 +44,8 @@ lib/ieee.08/_IEEE.NUMERIC_BIT-body.so: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.

lib/ieee.08/_IEEE.NUMERIC_BIT-body.vcode: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee.08/numeric_bit-body.vhdl

lib/ieee.08/_IEEE.NUMERIC_BIT.a: lib/std.08/_STD.TEXTIO-body.a

lib/ieee.08/IEEE.NUMERIC_BIT: lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_bit.vhdl

lib/ieee.08/_IEEE.NUMERIC_BIT.a: lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_bit.vhdl
Expand All @@ -42,6 +54,8 @@ lib/ieee.08/_IEEE.NUMERIC_BIT.so: lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD

lib/ieee.08/_IEEE.NUMERIC_BIT.vcode: lib/std.08/STD.TEXTIO lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee.08/numeric_bit.vhdl

lib/ieee.08/_IEEE.MATH_REAL-body.a:

lib/ieee.08/IEEE.MATH_REAL-body: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl

lib/ieee.08/_IEEE.MATH_REAL-body.a: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl
Expand All @@ -50,6 +64,8 @@ lib/ieee.08/_IEEE.MATH_REAL-body.so: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MA

lib/ieee.08/_IEEE.MATH_REAL-body.vcode: lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl

lib/ieee.08/_IEEE.MATH_COMPLEX-body.a: lib/ieee.08/_IEEE.MATH_REAL-body.a

lib/ieee.08/IEEE.MATH_COMPLEX-body: lib/ieee.08/IEEE.MATH_REAL lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl

lib/ieee.08/_IEEE.MATH_COMPLEX-body.a: lib/ieee.08/IEEE.MATH_REAL lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl
Expand All @@ -58,6 +74,8 @@ lib/ieee.08/_IEEE.MATH_COMPLEX-body.so: lib/ieee.08/IEEE.MATH_REAL lib/std.08/ST

lib/ieee.08/_IEEE.MATH_COMPLEX-body.vcode: lib/ieee.08/IEEE.MATH_REAL lib/std.08/STD.STANDARD lib/ieee.08/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl

lib/ieee.08/_IEEE.MATH_REAL.a:

lib/ieee.08/IEEE.MATH_REAL: lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl

lib/ieee.08/_IEEE.MATH_REAL.a: lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl
Expand All @@ -66,6 +84,8 @@ lib/ieee.08/_IEEE.MATH_REAL.so: lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/m

lib/ieee.08/_IEEE.MATH_REAL.vcode: lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl

lib/ieee.08/_IEEE.MATH_COMPLEX.a: lib/ieee.08/_IEEE.MATH_REAL-body.a

lib/ieee.08/IEEE.MATH_COMPLEX: lib/ieee.08/IEEE.MATH_REAL lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/math_complex.vhdl

lib/ieee.08/_IEEE.MATH_COMPLEX.a: lib/ieee.08/IEEE.MATH_REAL lib/std.08/STD.STANDARD $(top_srcdir)/lib/ieee/math_complex.vhdl
Expand Down
50 changes: 50 additions & 0 deletions lib/ieee/deps.mk
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
# Generated by nvc 1.6-devel

lib/ieee/_IEEE.VITAL_TIMING-body.a: lib/std/_STD.TEXTIO-body.a

lib/ieee/IEEE.VITAL_TIMING-body: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_TIMING $(top_srcdir)/lib/vital/timing_b.vhdl

lib/ieee/_IEEE.VITAL_TIMING-body.a: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_TIMING $(top_srcdir)/lib/vital/timing_b.vhdl
Expand All @@ -8,6 +10,8 @@ lib/ieee/_IEEE.VITAL_TIMING-body.so: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib

lib/ieee/_IEEE.VITAL_TIMING-body.vcode: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_TIMING $(top_srcdir)/lib/vital/timing_b.vhdl

lib/ieee/_IEEE.VITAL_PRIMITIVES-body.a: lib/std/_STD.TEXTIO-body.a

lib/ieee/IEEE.VITAL_PRIMITIVES-body: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_PRIMITIVES $(top_srcdir)/lib/vital/prmtvs_b.vhdl

lib/ieee/_IEEE.VITAL_PRIMITIVES-body.a: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_PRIMITIVES $(top_srcdir)/lib/vital/prmtvs_b.vhdl
Expand All @@ -16,6 +20,8 @@ lib/ieee/_IEEE.VITAL_PRIMITIVES-body.so: lib/std/STD.TEXTIO lib/std/STD.STANDARD

lib/ieee/_IEEE.VITAL_PRIMITIVES-body.vcode: lib/std/STD.TEXTIO lib/std/STD.STANDARD lib/ieee/IEEE.VITAL_PRIMITIVES $(top_srcdir)/lib/vital/prmtvs_b.vhdl

lib/ieee/_IEEE.VITAL_PRIMITIVES.a: lib/ieee/_IEEE.VITAL_TIMING-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.VITAL_PRIMITIVES: lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/prmtvs_p.vhdl

lib/ieee/_IEEE.VITAL_PRIMITIVES.a: lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/prmtvs_p.vhdl
Expand All @@ -24,6 +30,8 @@ lib/ieee/_IEEE.VITAL_PRIMITIVES.so: lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD

lib/ieee/_IEEE.VITAL_PRIMITIVES.vcode: lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/prmtvs_p.vhdl

lib/ieee/_IEEE.VITAL_TIMING.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.VITAL_TIMING: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/timing_p.vhdl

lib/ieee/_IEEE.VITAL_TIMING.a: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/timing_p.vhdl
Expand All @@ -32,6 +40,8 @@ lib/ieee/_IEEE.VITAL_TIMING.so: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDAR

lib/ieee/_IEEE.VITAL_TIMING.vcode: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/timing_p.vhdl

lib/ieee/_IEEE.VITAL_MEMORY.a: lib/std/_STD.TEXTIO-body.a lib/ieee/_IEEE.VITAL_PRIMITIVES-body.a lib/ieee/_IEEE.VITAL_TIMING-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.VITAL_MEMORY: lib/std/STD.TEXTIO lib/ieee/IEEE.VITAL_PRIMITIVES lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/memory_p.vhdl

lib/ieee/_IEEE.VITAL_MEMORY.a: lib/std/STD.TEXTIO lib/ieee/IEEE.VITAL_PRIMITIVES lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/memory_p.vhdl
Expand All @@ -40,6 +50,10 @@ lib/ieee/_IEEE.VITAL_MEMORY.so: lib/std/STD.TEXTIO lib/ieee/IEEE.VITAL_PRIMITIVE

lib/ieee/_IEEE.VITAL_MEMORY.vcode: lib/std/STD.TEXTIO lib/ieee/IEEE.VITAL_PRIMITIVES lib/ieee/IEEE.VITAL_TIMING lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/vital/memory_p.vhdl

lib/ieee/_IEEE.STD_LOGIC_UNSIGNED-body.a: lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/_IEEE.STD_LOGIC_UNSIGNED.a: lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.STD_LOGIC_UNSIGNED-body: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_unsigned.vhd

lib/ieee/_IEEE.STD_LOGIC_UNSIGNED-body.a: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_unsigned.vhd
Expand All @@ -50,6 +64,10 @@ lib/ieee/_IEEE.STD_LOGIC_UNSIGNED-body.vcode: lib/ieee/IEEE.STD_LOGIC_ARITH lib/

lib/ieee/IEEE.STD_LOGIC_UNSIGNED: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_unsigned.vhd

lib/ieee/_IEEE.STD_LOGIC_TEXTIO-body.a:

lib/ieee/_IEEE.STD_LOGIC_TEXTIO.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a lib/std/_STD.TEXTIO-body.a

lib/ieee/IEEE.STD_LOGIC_TEXTIO-body: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.TEXTIO lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_textio.vhd

lib/ieee/_IEEE.STD_LOGIC_TEXTIO-body.a: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.TEXTIO lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_textio.vhd
Expand All @@ -60,6 +78,10 @@ lib/ieee/_IEEE.STD_LOGIC_TEXTIO-body.vcode: lib/ieee/IEEE.STD_LOGIC_1164 lib/std

lib/ieee/IEEE.STD_LOGIC_TEXTIO: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.TEXTIO lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_textio.vhd

lib/ieee/_IEEE.STD_LOGIC_SIGNED-body.a: lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/_IEEE.STD_LOGIC_SIGNED.a: lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.STD_LOGIC_SIGNED-body: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_signed.vhd

lib/ieee/_IEEE.STD_LOGIC_SIGNED-body.a: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_signed.vhd
Expand All @@ -70,6 +92,10 @@ lib/ieee/_IEEE.STD_LOGIC_SIGNED-body.vcode: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ie

lib/ieee/IEEE.STD_LOGIC_SIGNED: lib/ieee/IEEE.STD_LOGIC_ARITH lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_signed.vhd

lib/ieee/_IEEE.STD_LOGIC_MISC-body.a:

lib/ieee/_IEEE.STD_LOGIC_MISC.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.STD_LOGIC_MISC-body: lib/synopsys/SYNOPSYS.ATTRIBUTES lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_misc.vhd

lib/ieee/_IEEE.STD_LOGIC_MISC-body.a: lib/synopsys/SYNOPSYS.ATTRIBUTES lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_misc.vhd
Expand All @@ -80,6 +106,10 @@ lib/ieee/_IEEE.STD_LOGIC_MISC-body.vcode: lib/synopsys/SYNOPSYS.ATTRIBUTES lib/i

lib/ieee/IEEE.STD_LOGIC_MISC: lib/synopsys/SYNOPSYS.ATTRIBUTES lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_misc.vhd

lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/_IEEE.STD_LOGIC_ARITH.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.STD_LOGIC_ARITH-body: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_arith.vhd

lib/ieee/_IEEE.STD_LOGIC_ARITH-body.a: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_arith.vhd
Expand All @@ -90,6 +120,8 @@ lib/ieee/_IEEE.STD_LOGIC_ARITH-body.vcode: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/

lib/ieee/IEEE.STD_LOGIC_ARITH: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/std_logic_arith.vhd

lib/ieee/_IEEE.STD_LOGIC_1164-body.a:

lib/ieee/IEEE.STD_LOGIC_1164-body: lib/std/STD.STANDARD lib/ieee/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee/std_logic_1164-body.vhdl

lib/ieee/_IEEE.STD_LOGIC_1164-body.a: lib/std/STD.STANDARD lib/ieee/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee/std_logic_1164-body.vhdl
Expand All @@ -98,6 +130,8 @@ lib/ieee/_IEEE.STD_LOGIC_1164-body.so: lib/std/STD.STANDARD lib/ieee/IEEE.STD_LO

lib/ieee/_IEEE.STD_LOGIC_1164-body.vcode: lib/std/STD.STANDARD lib/ieee/IEEE.STD_LOGIC_1164 $(top_srcdir)/lib/ieee/std_logic_1164-body.vhdl

lib/ieee/_IEEE.NUMERIC_STD-body.a:

lib/ieee/IEEE.NUMERIC_STD-body: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee/numeric_std-body.vhdl

lib/ieee/_IEEE.NUMERIC_STD-body.a: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee/numeric_std-body.vhdl
Expand All @@ -106,8 +140,12 @@ lib/ieee/_IEEE.NUMERIC_STD-body.so: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_S

lib/ieee/_IEEE.NUMERIC_STD-body.vcode: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_STD $(top_srcdir)/lib/ieee/numeric_std-body.vhdl

lib/ieee/_IEEE.STD_LOGIC_1164.a:

lib/ieee/IEEE.STD_LOGIC_1164: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/std_logic_1164.vhdl

lib/ieee/_IEEE.NUMERIC_STD.a: lib/ieee/_IEEE.STD_LOGIC_1164-body.a

lib/ieee/IEEE.NUMERIC_STD: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_std.vhdl

lib/ieee/_IEEE.NUMERIC_STD.a: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_std.vhdl
Expand All @@ -116,6 +154,8 @@ lib/ieee/_IEEE.NUMERIC_STD.so: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD

lib/ieee/_IEEE.NUMERIC_STD.vcode: lib/ieee/IEEE.STD_LOGIC_1164 lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_std.vhdl

lib/ieee/_IEEE.NUMERIC_BIT-body.a:

lib/ieee/IEEE.NUMERIC_BIT-body: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee/numeric_bit-body.vhdl

lib/ieee/_IEEE.NUMERIC_BIT-body.a: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee/numeric_bit-body.vhdl
Expand All @@ -124,6 +164,8 @@ lib/ieee/_IEEE.NUMERIC_BIT-body.so: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_B

lib/ieee/_IEEE.NUMERIC_BIT-body.vcode: lib/std/STD.STANDARD lib/ieee/IEEE.NUMERIC_BIT $(top_srcdir)/lib/ieee/numeric_bit-body.vhdl

lib/ieee/_IEEE.NUMERIC_BIT.a:

lib/ieee/IEEE.NUMERIC_BIT: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_bit.vhdl

lib/ieee/_IEEE.NUMERIC_BIT.a: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_bit.vhdl
Expand All @@ -132,6 +174,8 @@ lib/ieee/_IEEE.NUMERIC_BIT.so: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numer

lib/ieee/_IEEE.NUMERIC_BIT.vcode: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/numeric_bit.vhdl

lib/ieee/_IEEE.MATH_REAL-body.a:

lib/ieee/IEEE.MATH_REAL-body: lib/std/STD.STANDARD lib/ieee/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl

lib/ieee/_IEEE.MATH_REAL-body.a: lib/std/STD.STANDARD lib/ieee/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl
Expand All @@ -140,6 +184,8 @@ lib/ieee/_IEEE.MATH_REAL-body.so: lib/std/STD.STANDARD lib/ieee/IEEE.MATH_REAL $

lib/ieee/_IEEE.MATH_REAL-body.vcode: lib/std/STD.STANDARD lib/ieee/IEEE.MATH_REAL $(top_srcdir)/lib/ieee/math_real-body.vhdl

lib/ieee/_IEEE.MATH_COMPLEX-body.a: lib/ieee/_IEEE.MATH_REAL-body.a

lib/ieee/IEEE.MATH_COMPLEX-body: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDARD lib/ieee/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl

lib/ieee/_IEEE.MATH_COMPLEX-body.a: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDARD lib/ieee/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl
Expand All @@ -148,6 +194,8 @@ lib/ieee/_IEEE.MATH_COMPLEX-body.so: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDAR

lib/ieee/_IEEE.MATH_COMPLEX-body.vcode: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDARD lib/ieee/IEEE.MATH_COMPLEX $(top_srcdir)/lib/ieee/math_complex-body.vhdl

lib/ieee/_IEEE.MATH_REAL.a:

lib/ieee/IEEE.MATH_REAL: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl

lib/ieee/_IEEE.MATH_REAL.a: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl
Expand All @@ -156,6 +204,8 @@ lib/ieee/_IEEE.MATH_REAL.so: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_re

lib/ieee/_IEEE.MATH_REAL.vcode: lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_real.vhdl

lib/ieee/_IEEE.MATH_COMPLEX.a: lib/ieee/_IEEE.MATH_REAL-body.a

lib/ieee/IEEE.MATH_COMPLEX: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_complex.vhdl

lib/ieee/_IEEE.MATH_COMPLEX.a: lib/ieee/IEEE.MATH_REAL lib/std/STD.STANDARD $(top_srcdir)/lib/ieee/math_complex.vhdl
Expand Down
10 changes: 10 additions & 0 deletions lib/std.08/deps.mk
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
# Generated by nvc 1.6-devel

lib/std.08/_STD.TEXTIO-body.a:

lib/std.08/STD.TEXTIO-body: lib/std.08/STD.STANDARD lib/std.08/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd

lib/std.08/_STD.TEXTIO-body.a: lib/std.08/STD.STANDARD lib/std.08/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd
Expand All @@ -8,6 +10,8 @@ lib/std.08/_STD.TEXTIO-body.so: lib/std.08/STD.STANDARD lib/std.08/STD.TEXTIO $(

lib/std.08/_STD.TEXTIO-body.vcode: lib/std.08/STD.STANDARD lib/std.08/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd

lib/std.08/_STD.TEXTIO.a:

lib/std.08/STD.TEXTIO: lib/std.08/STD.STANDARD $(top_srcdir)/lib/std.08/textio.vhd

lib/std.08/_STD.TEXTIO.a: lib/std.08/STD.STANDARD $(top_srcdir)/lib/std.08/textio.vhd
Expand All @@ -16,6 +20,8 @@ lib/std.08/_STD.TEXTIO.so: lib/std.08/STD.STANDARD $(top_srcdir)/lib/std.08/text

lib/std.08/_STD.TEXTIO.vcode: lib/std.08/STD.STANDARD $(top_srcdir)/lib/std.08/textio.vhd

lib/std.08/_STD.ENV-body.a:

lib/std.08/STD.ENV-body: lib/std.08/STD.STANDARD lib/std.08/STD.ENV $(top_srcdir)/lib/std.08/env-body.vhd

lib/std.08/_STD.ENV-body.a: lib/std.08/STD.STANDARD lib/std.08/STD.ENV $(top_srcdir)/lib/std.08/env-body.vhd
Expand All @@ -24,7 +30,11 @@ lib/std.08/_STD.ENV-body.so: lib/std.08/STD.STANDARD lib/std.08/STD.ENV $(top_sr

lib/std.08/_STD.ENV-body.vcode: lib/std.08/STD.STANDARD lib/std.08/STD.ENV $(top_srcdir)/lib/std.08/env-body.vhd

lib/std.08/_STD.STANDARD.a:

lib/std.08/STD.STANDARD: $(top_srcdir)/lib/std/standard.vhd

lib/std.08/_STD.ENV.a:

lib/std.08/STD.ENV: lib/std.08/STD.STANDARD $(top_srcdir)/lib/std.08/env.vhd

6 changes: 6 additions & 0 deletions lib/std/deps.mk
Original file line number Diff line number Diff line change
@@ -1,5 +1,7 @@
# Generated by nvc 1.6-devel

lib/std/_STD.TEXTIO-body.a:

lib/std/STD.TEXTIO-body: lib/std/STD.STANDARD lib/std/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd

lib/std/_STD.TEXTIO-body.a: lib/std/STD.STANDARD lib/std/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd
Expand All @@ -8,6 +10,8 @@ lib/std/_STD.TEXTIO-body.so: lib/std/STD.STANDARD lib/std/STD.TEXTIO $(top_srcdi

lib/std/_STD.TEXTIO-body.vcode: lib/std/STD.STANDARD lib/std/STD.TEXTIO $(top_srcdir)/lib/std/textio-body.vhd

lib/std/_STD.TEXTIO.a:

lib/std/STD.TEXTIO: lib/std/STD.STANDARD $(top_srcdir)/lib/std/textio.vhd

lib/std/_STD.TEXTIO.a: lib/std/STD.STANDARD $(top_srcdir)/lib/std/textio.vhd
Expand All @@ -16,5 +20,7 @@ lib/std/_STD.TEXTIO.so: lib/std/STD.STANDARD $(top_srcdir)/lib/std/textio.vhd

lib/std/_STD.TEXTIO.vcode: lib/std/STD.STANDARD $(top_srcdir)/lib/std/textio.vhd

lib/std/_STD.STANDARD.a:

lib/std/STD.STANDARD: $(top_srcdir)/lib/std/standard.vhd

2 changes: 2 additions & 0 deletions lib/synopsys/deps.mk
Original file line number Diff line number Diff line change
@@ -1,4 +1,6 @@
# Generated by nvc 1.6-devel

lib/synopsys/_SYNOPSYS.ATTRIBUTES.a:

lib/synopsys/SYNOPSYS.ATTRIBUTES: lib/std/STD.STANDARD $(top_srcdir)/lib/synopsys/attributes.vhd

0 comments on commit a49cd8e

Please sign in to comment.