Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

PSL property analysis fails when braces around it are missing #913

Closed
Blebowski opened this issue Jul 7, 2024 · 0 comments
Closed

PSL property analysis fails when braces around it are missing #913

Blebowski opened this issue Jul 7, 2024 · 0 comments

Comments

@Blebowski
Copy link
Sponsor Contributor

Blebowski commented Jul 7, 2024

Hi,

trying to analyze following code:

library ieee;
use ieee.std_logic_1164.all;

entity psl_braces_missing is
end entity;

architecture tb of psl_braces_missing is

    signal clk : std_logic;

    signal a,b : std_logic;

begin

    -- psl default clock is rising_edge(clk);

    -- psl asrt_1 : assert always
    --  a -> b
    --  report "HALOO";

end architecture;

errors out with:

** Error: unexpected end while parsing assert directive, expecting one of **, union or ;
    > psl_braces_missing.vhd:21
    |
 21 | end architecture;
    | ^^^ this token was unexpected

If I wrap the a -> b into braces:

 -- psl asrt_1 : assert always
    --  (a -> b)
    --  report "HALOO";

the code analyses without problems.
If I try both versions in Aldec, it analyzes without problems.

@nickg nickg closed this as completed in 017153a Jul 11, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant