Skip to content

Commit

Permalink
Merge pull request #66 from tudortimi/fix_csh_setup
Browse files Browse the repository at this point in the history
Fix csh setup
  • Loading branch information
nosnhojn committed Jan 13, 2020
2 parents d9cd287 + 36f379b commit 57dae8e
Show file tree
Hide file tree
Showing 4 changed files with 13 additions and 13 deletions.
9 changes: 3 additions & 6 deletions Setup.csh
Original file line number Diff line number Diff line change
Expand Up @@ -3,13 +3,13 @@
############################################################################
#
# Copyright 2011 XtremeEDA Corp.
#
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
#
# http://www.apache.org/licenses/LICENSE-2.0
#
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
Expand All @@ -18,9 +18,6 @@
#
############################################################################

export SVUNIT_INSTALL=`pwd`
if [ "${PATH/$SVUNIT_INSTALL\/bin/}" == "$PATH" ]; then

setenv SVUNIT_INSTALL `pwd`
if ( $PATH =~ *$SVUNIT_INSTALL* ) then
else
Expand Down
14 changes: 8 additions & 6 deletions bin/runSVUnit
Original file line number Diff line number Diff line change
Expand Up @@ -3,13 +3,13 @@
############################################################################
#
# Copyright 2011 XtremeEDA Corp.
#
#
# Licensed under the Apache License, Version 2.0 (the "License");
# you may not use this file except in compliance with the License.
# You may obtain a copy of the License at
#
#
# http://www.apache.org/licenses/LICENSE-2.0
#
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS,
# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
Expand Down Expand Up @@ -51,7 +51,7 @@ my $help;
sub usage () {
print "Usage: runSVUnit -s|--sim <simulator> [-l|--log <log> -d|--define <macro> -f|--filelist <file> -U|-uvm -m|-mixedsim <vhdlfile>\n";
print " -r|--r_arg <option> -c|--c_arg <option> -o|--out <dir> -t|--test <test>]\n";
print " -s|--sim <simulator> : simulator is either of questa, modelsim, riviera, ius or vcs\n";
print " -s|--sim <simulator> : simulator is either of questa, modelsim, riviera, ius, xcelium or vcs\n";
print " -l|--log <log> : simulation log file (default: run.log)\n";
print " -d|--define <macro> : appended to the command line as +define+<macro>\n";
print " -f|--filelist <file> : some verilog file list\n";
Expand Down Expand Up @@ -112,7 +112,9 @@ push @defines, qq!SVUNIT_VERSION='"$version"'!;
$simulator =~ tr/A-Z/a-z/;
$simulator =~ s/questa/modelsim/;
$simulator =~ s/ius/irun/;
usage() if $simulator ne "irun" &&
$simulator =~ s/xcelium/xrun/;
usage() if $simulator ne "xrun" &&
$simulator ne "irun" &&
$simulator ne "modelsim" &&
$simulator ne "riviera" &&
$simulator ne "vcs";
Expand All @@ -134,7 +136,7 @@ if ($simulator eq "modelsim" or $simulator eq "riviera") {

# add the uvm switches if necessary
if (defined $uvm) {
$cmd .= " -uvm" if $simulator eq "irun";
$cmd .= " -uvm" if ($simulator eq "xrun" or $simulator eq "irun");
$cmd .= " -ntb_opts uvm" if $simulator eq "vcs";
push @defines, "RUN_SVUNIT_WITH_UVM";
}
Expand Down
1 change: 0 additions & 1 deletion test/test_frmwrk.py
Original file line number Diff line number Diff line change
Expand Up @@ -159,7 +159,6 @@ def test_frmwrk_13(datafiles):
assert pathlib.Path(file.purebasename + '_unit_test.sv').is_file()


@pytest.mark.skip(reason='Setup.csh is busted')
@all_files_in_dir('frmwrk_14')
@all_available_simulators()
def test_frmwrk_14(datafiles, simulator):
Expand Down
2 changes: 2 additions & 0 deletions test/utils.py
Original file line number Diff line number Diff line change
Expand Up @@ -20,6 +20,8 @@ def all_available_simulators():

if shutil.which('irun'):
simulators.append('irun')
if shutil.which('xrun'):
simulators.append('xrun')
if shutil.which('vcs'):
simulators.append('vcs')
if shutil.which('vlog'):
Expand Down

0 comments on commit 57dae8e

Please sign in to comment.