Skip to content
View olekkarlsen's full-sized avatar
Block or Report

Block or report olekkarlsen

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse

Popular repositories

  1. OKK-MIST-MiniMig-AGA-Audio-Fixes- OKK-MIST-MiniMig-AGA-Audio-Fixes- Public

    This repo has Paula with real PWM volume and 9bit DAC output

    Verilog 1 1

  2. Floating-Point-ALU-in-Verilog Floating-Point-ALU-in-Verilog Public

    Forked from nishthaparashar/Floating-Point-ALU-in-Verilog

    32-Bit Algorithms of Floating Point Operations are implemented on Verilog with logic Operations.

    Verilog

  3. minimig-mist minimig-mist Public

    Forked from rkrajnc/minimig-mist

    Minimig for the MiST board

    Verilog

  4. C64_MIST C64_MIST Public

    Forked from sorgelig/C64_MIST

    VHDL

  5. mist-board mist-board Public

    Forked from mist-devel/mist-board

    Core sources and tools for the MIST board

    VHDL

  6. mist-firmware mist-firmware Public

    Forked from mist-devel/mist-firmware

    Firmware source code for the MIST board

    C