Skip to content

Commit

Permalink
Fix Qsys filename in quartus-std-makefile template
Browse files Browse the repository at this point in the history
Signed-off-by: Walter Goossens <waltergoossens@creative-embedded.com>
  • Loading branch information
wgoossens authored and olofk committed Apr 9, 2019
1 parent fa590ab commit 4df315f
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion edalize/templates/quartus/quartus-std-makefile.j2
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ project: $(NAME).tcl

qsys: project
{% for qsys_file in src_files if qsys_file|qsys_file_filter %}
ip-generate --project-directory={{qsys_file.srcdir}} --output-directory={{qsys_file.dstdir}} --report-file=bsf:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.bsf --system-info=DEVICE_FAMILY="{{tool_options.family}}" --system-info=DEVICE={{tool_options.device}} --component-file={{qsys_file.srcdir}}/{{qsys_file.name}}
ip-generate --project-directory={{qsys_file.srcdir}} --output-directory={{qsys_file.dstdir}} --report-file=bsf:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.bsf --system-info=DEVICE_FAMILY="{{tool_options.family}}" --system-info=DEVICE={{tool_options.device}} --component-file={{qsys_file.srcdir}}/{{qsys_file.simplename}}.qsys
ip-generate --project-directory={{qsys_file.srcdir}} --output-directory={{qsys_file.dstdir}}/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.sopcinfo --report-file=html:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.html --report-file=qip:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.qip --report-file=cmp:{{qsys_file.dstdir}}/{{qsys_file.simplename}}.cmp --report-file=svd --system-info=DEVICE_FAMILY="{{tool_options.family}}" --system-info=DEVICE={{tool_options.device}} --component-file={{qsys_file.srcdir}}/{{qsys_file.simplename}}.qsys --language=VERILOG
{% endfor %}

Expand Down
2 changes: 1 addition & 1 deletion tests/test_quartus/Standard/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -8,7 +8,7 @@ project: $(NAME).tcl
quartus_sh $(OPTIONS) -t $(NAME).tcl

qsys: project
ip-generate --project-directory= --output-directory=qsys/qsys_file --report-file=bsf:qsys/qsys_file/qsys_file.bsf --system-info=DEVICE_FAMILY="Cyclone V" --system-info=DEVICE=5CSXFC6D6F31C8ES --component-file=/qsys_file
ip-generate --project-directory= --output-directory=qsys/qsys_file --report-file=bsf:qsys/qsys_file/qsys_file.bsf --system-info=DEVICE_FAMILY="Cyclone V" --system-info=DEVICE=5CSXFC6D6F31C8ES --component-file=/qsys_file.qsys
ip-generate --project-directory= --output-directory=qsys/qsys_file/synthesis --file-set=QUARTUS_SYNTH --report-file=sopcinfo:qsys/qsys_file/qsys_file.sopcinfo --report-file=html:qsys/qsys_file/qsys_file.html --report-file=qip:qsys/qsys_file/qsys_file.qip --report-file=cmp:qsys/qsys_file/qsys_file.cmp --report-file=svd --system-info=DEVICE_FAMILY="Cyclone V" --system-info=DEVICE=5CSXFC6D6F31C8ES --component-file=/qsys_file.qsys --language=VERILOG

map: qsys
Expand Down

0 comments on commit 4df315f

Please sign in to comment.