Skip to content

Commit

Permalink
Prepare for release
Browse files Browse the repository at this point in the history
  • Loading branch information
olofk committed Dec 26, 2022
1 parent f771ca5 commit e6ce94e
Show file tree
Hide file tree
Showing 2 changed files with 16 additions and 1 deletion.
15 changes: 15 additions & 0 deletions NEWS
Original file line number Diff line number Diff line change
@@ -1,3 +1,18 @@
0.4.1 2022-12-26 Olof Kindgren
======================================================
* New flows: f4pga
* New backends: slang, Questa Formal
* Migrated to flow API: icarus
* icarus: Add vvp_options, support multiple top levels
* ise: Support FPGA families with spaces in name
* openlane: Support SystemVerilog
* vcs: Support launchers
* verilator: Fix include files
* vivado: Support launchers, fix pnr=none, fix include dirs on windows
* yosys: Only use -pvector for edif
* el_docker: Support additional docker args, update openlane version
* Don't capture output of script hooks

0.4.0 2022-07-12 Olof Kindgren
======================================================
* New backends: OpenFPGA, VPR, Mistral, gatemate
Expand Down
2 changes: 1 addition & 1 deletion setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@ def read(fname):

setup(
name="edalize",
version="0.4.0",
version="0.4.1",
packages=["edalize", "edalize.tools", "edalize.flows"],
package_data={
"edalize": [
Expand Down

0 comments on commit e6ce94e

Please sign in to comment.