Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add Surelog/UHDM support as yosys plugin #261

Open
wants to merge 2 commits into
base: main
Choose a base branch
from

Conversation

mtdudek
Copy link

@mtdudek mtdudek commented Aug 11, 2021

Signed-off-by: Maciej Dudek mdudek@antmicro.com

@mtdudek mtdudek changed the title Add Surelog support as yosys frontend Add Surelog/UHDM support as yosys plugin Aug 17, 2021
mtdudek and others added 2 commits August 17, 2021 10:36
Signed-off-by: Maciej Dudek <mdudek@antmicro.com>
Signed-off-by: Kamil Rakoczy <krakoczy@antmicro.com>
@kamilrakoczy
Copy link
Contributor

Note: our custom version fork of yosys is still required for UHDM support, but now UHDM frontend is used as a separate plugin to yosys that is dynamically loaded.

@olofk
Copy link
Owner

olofk commented Dec 20, 2021

Is this still needed with the new flow API?

@kamilrakoczy
Copy link
Contributor

I tried to use the new flow API using fusesoc, but couldn't get it to work. Does the new flow API is already integrated with fusesoc? If yes, are there any examples of correct core file?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

3 participants