Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error during ariane-verilator simulation #458

Closed
mervinj opened this issue Jun 24, 2020 · 4 comments
Closed

Error during ariane-verilator simulation #458

mervinj opened this issue Jun 24, 2020 · 4 comments
Assignees
Labels
Component:Tool-and-build For issues in the tool and build flow (e.g. Makefile, FuseSoc, etc.) Status:Resolved Issue has been resolved, but closure is pending on git merge and/or issuer confirmation Type:Question For general questions

Comments

@mervinj
Copy link

mervinj commented Jun 24, 2020

I have cloned the latest commit and simulated the helloworld program with PK. It gives the following error during

$work-ver/Variane_testharness $RISCV/riscv64-unknown-elf/bin/pk hello.elf

Variane_testharness: ../fesvr/dtm.cc:612: void dtm_t::tick(bool, bool, dtm_t::resp): Assertion `resp_wait' failed.

KIndly help to resolve the issue

@Yi1101
Copy link
Contributor

Yi1101 commented Jun 24, 2020

Please check your environment carefully, check the RISCV & PATH, and follow the Getting Started strictly.

@jimmysitu
Copy link
Contributor

Hi, @mervinj
I got the same error on one of my ubuntu 18.04 machine.
But is work fine when I change to other machine.

I think it might be a conner issue with verilator or system package, any idea?

@mervinj
Copy link
Author

mervinj commented Sep 25, 2020

Hi jimmysitu,
Did You solve the issue by trying in different ubuntu version or same version diff. machine. Kindly share the script if the problem is resolved.

@MikeOpenHWGroup MikeOpenHWGroup added Component:Tool-and-build For issues in the tool and build flow (e.g. Makefile, FuseSoc, etc.) Status:Resolved Issue has been resolved, but closure is pending on git merge and/or issuer confirmation Type:Question For general questions labels Feb 17, 2023
@MikeOpenHWGroup
Copy link
Member

Thanks for your input on this issue @jimmysitu.

Hi @mervinj, thanks for your interest in CVA6. This issue has not been updated in more than 2.5 years, so I will assume it is resolved and will close this issue. If you are still having trouble, please feel free to open another.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Component:Tool-and-build For issues in the tool and build flow (e.g. Makefile, FuseSoc, etc.) Status:Resolved Issue has been resolved, but closure is pending on git merge and/or issuer confirmation Type:Question For general questions
Projects
None yet
Development

No branches or pull requests

4 participants