Skip to content

Commit

Permalink
Verilator flags (#1628)
Browse files Browse the repository at this point in the history
  • Loading branch information
alaindargelas committed Jun 26, 2024
1 parent 6b7e3d6 commit 92f77e8
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion src/Simulation/Simulator.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -545,7 +545,8 @@ std::string Simulator::SimulatorCompilationOptions(SimulationType simulation,
"-cc --assert -Wall -Wno-DECLFILENAME "
"-Wno-UNUSEDSIGNAL "
"-Wno-TIMESCALEMOD "
"-Wno-WIDTH -Wno-fatal -Wno-BLKANDNBLK ";
"-Wno-WIDTH -Wno-fatal -Wno-BLKANDNBLK -Wno-BLKLOOPINIT "
"-Wno-MULTIDRIVEN -DSIM_VERILATOR";
if (simulation == SimulationType::PNR ||
simulation == SimulationType::Gate)
options += "--timing ";
Expand Down

0 comments on commit 92f77e8

Please sign in to comment.