Skip to content

Commit

Permalink
output sresp for path selection
Browse files Browse the repository at this point in the history
  • Loading branch information
taichi-ishitani committed Apr 30, 2024
1 parent d29b192 commit 6b8404c
Show file tree
Hide file tree
Showing 5 changed files with 28 additions and 28 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -254,7 +254,7 @@ module pzcorebus_axi2corebus_simple_bridge
.i_clk (i_clk ),
.i_rst_n (i_rst_n ),
.i_arbiter_config (PZBCM_ARBITER_CONFIG_ROUND_ROBIN ),
.o_sid (),
.o_sresp (),
.i_select (1'd0 ),
.slave_if (switch_if ),
.master_if (corebus_if )
Expand Down
16 changes: 8 additions & 8 deletions pzcorebus_m_to_1_switch/pzcorebus_m_to_1_switch.sv
Original file line number Diff line number Diff line change
Expand Up @@ -31,13 +31,13 @@ module pzcorebus_m_to_1_switch
parameter bit REQUEST_SVA_CHECKER = SVA_CHECKER,
parameter bit RESPONSE_SVA_CHECKER = SVA_CHECKER
)(
input var i_clk,
input var i_rst_n,
input var pzbcm_arbiter_config i_arbiter_config,
output var [BUS_CONFIG.id_width-1:0] o_sid,
input var [SELECT_WIDTH-1:0] i_select,
pzcorebus_if.slave slave_if[SLAVES],
pzcorebus_if.master master_if
input var i_clk,
input var i_rst_n,
input var pzbcm_arbiter_config i_arbiter_config,
output var pzcorebus_response o_sresp,
input var [SELECT_WIDTH-1:0] i_select,
pzcorebus_if.slave slave_if[SLAVES],
pzcorebus_if.master master_if
);
localparam pzbcm_selector_type RESPONSE_SELECT_TYPE = (WAIT_FOR_RESPONSE) ? PZBCM_SELECTOR_ONEHOT : SELECTOR_TYPE;
localparam int RESPONSE_SELECT_WIDTH = (WAIT_FOR_RESPONSE) ? SLAVES : SELECT_WIDTH;
Expand Down Expand Up @@ -91,7 +91,7 @@ module pzcorebus_m_to_1_switch
) u_response_switch (
.i_clk (i_clk ),
.i_rst_n (i_rst_n ),
.o_sid (o_sid ),
.o_sresp (o_sresp ),
.i_select (select ),
.o_response_ack (response_ack ),
.slave_if (bus_if[0:SLAVES-1] ),
Expand Down
18 changes: 9 additions & 9 deletions pzcorebus_m_to_1_switch/pzcorebus_response_m_to_1_switch.sv
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@ module pzcorebus_response_m_to_1_switch
parameter int RESPONSE_DEPTH = 2,
parameter bit SVA_CHECKER = 1
)(
input var i_clk,
input var i_rst_n,
output var [BUS_CONFIG.id_width-1:0] o_sid,
input var [SELECT_WIDTH-1:0] i_select,
output var o_response_ack,
interface.response_slave slave_if[SLAVES],
interface.response_master master_if
input var i_clk,
input var i_rst_n,
output var pzcorebus_response o_sresp,
input var [SELECT_WIDTH-1:0] i_select,
output var o_response_ack,
interface.response_slave slave_if[SLAVES],
interface.response_master master_if
);
pzcorebus_response_if #(BUS_CONFIG) fifo_if[SLAVES]();
pzcorebus_response_if #(BUS_CONFIG) switch_if();
Expand Down Expand Up @@ -62,7 +62,7 @@ module pzcorebus_response_m_to_1_switch

if (EXTERNAL_DECODE) begin : g_response_select
always_comb begin
o_sid = switch_if.sid;
o_sresp = switch_if.get_response();
end

always_comb begin
Expand All @@ -71,7 +71,7 @@ module pzcorebus_response_m_to_1_switch
end
else begin : g_response_select
always_comb begin
o_sid = '0;
o_sresp = pzcorebus_response'(0);
end

always_comb begin
Expand Down
16 changes: 8 additions & 8 deletions pzcorebus_xbar_switch/pzcorebus_response_xbar_switch.sv
Original file line number Diff line number Diff line change
Expand Up @@ -25,13 +25,13 @@ module pzcorebus_response_xbar_switch
parameter int RESPONSE_DEPTH = 2,
parameter bit SVA_CHECKER = 1
)(
input var i_clk,
input var i_rst_n,
input var pzbcm_arbiter_config i_arbiter_config,
output var [MASTERS-1:0][BUS_CONFIG.id_width-1:0] o_sid,
input var [MASTERS-1:0][SELECT_WIDTH-1:0] i_select,
interface.response_slave slave_if[SLAVES],
interface.response_master master_if[MASTERS]
input var i_clk,
input var i_rst_n,
input var pzbcm_arbiter_config i_arbiter_config,
output var pzcorebus_response [MASTERS-1:0] o_sresp,
input var [MASTERS-1:0][SELECT_WIDTH-1:0] i_select,
interface.response_slave slave_if[SLAVES],
interface.response_master master_if[MASTERS]
);
localparam int TOTAL = SLAVES * MASTERS;

Expand Down Expand Up @@ -82,7 +82,7 @@ module pzcorebus_response_xbar_switch
) u_switch (
.i_clk (i_clk ),
.i_rst_n (i_rst_n ),
.o_sid (o_sid[i] ),
.o_sresp (o_sresp[i] ),
.i_select (i_select[i] ),
.o_response_ack (),
.slave_if (master_switch_if[SLAVES*i:SLAVES*(i+1)-1] ),
Expand Down
4 changes: 2 additions & 2 deletions pzcorebus_xbar_switch/pzcorebus_xbar_switch.sv
Original file line number Diff line number Diff line change
Expand Up @@ -49,7 +49,7 @@ module pzcorebus_xbar_switch
output var pzcorebus_command [SLAVES-1:0] o_mcmd,
input var [SLAVES-1:0][REQUEST_SELECT_WIDTH-1:0] i_request_select,
input var pzbcm_arbiter_config i_request_arbiter_config,
output var [MASTERS-1:0][BUS_CONFIG.id_width-1:0] o_sid,
output var pzcorebus_response [MASTERS-1:0] o_sresp,
input var [MASTERS-1:0][RESPONSE_SELECT_WIDTH-1:0] i_response_select,
input var pzbcm_arbiter_config i_response_arbiter_config,
pzcorebus_if.slave slave_if[SLAVES],
Expand Down Expand Up @@ -116,7 +116,7 @@ module pzcorebus_xbar_switch
.i_clk (i_clk ),
.i_rst_n (i_rst_n ),
.i_arbiter_config (i_response_arbiter_config ),
.o_sid (o_sid ),
.o_sresp (o_sresp ),
.i_select (i_response_select ),
.slave_if (slave_bus_if ),
.master_if (master_bus_if )
Expand Down

0 comments on commit 6b8404c

Please sign in to comment.