Skip to content

Commit

Permalink
Update comments
Browse files Browse the repository at this point in the history
  • Loading branch information
plasticbit committed Apr 5, 2021
1 parent 641bfde commit c5b3100
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions SSD1306.go
Expand Up @@ -51,7 +51,7 @@ func NewSSD1306(width, height int, name string, addr uint16) (*SSD1306, error) {
return oled, nil
}

// Init 初期設定
// Init Initializing process.
func (oled *SSD1306) Init() {
oled.reset()
oled.cmds([]byte{
Expand All @@ -77,7 +77,7 @@ func (oled *SSD1306) Init() {
})
}

// Close OLEDをストップします
// Close Run Clear then Display and close i2c driver.
func (oled *SSD1306) Close() {
oled.Clear()
oled.Display()
Expand Down

0 comments on commit c5b3100

Please sign in to comment.