Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[IIDM v1.0] Two windings transformer: add phaseAngleClock, only one tap changer regulating #18

Closed
mathbagu opened this issue Mar 3, 2020 · 1 comment
Assignees
Projects

Comments

@mathbagu
Copy link

mathbagu commented Mar 3, 2020

(if a question doesn't apply, you can delete it)

@mathbagu mathbagu added this to To do in IIDM v1.0 via automation Mar 3, 2020
@mathbagu mathbagu moved this from To do to In progress in IIDM v1.0 Mar 6, 2020
@mathbagu mathbagu self-assigned this Mar 6, 2020
@mathbagu
Copy link
Author

Fixed in #45

IIDM v1.0 automation moved this from In progress to Done Mar 13, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
IIDM v1.0
  
Done
Development

No branches or pull requests

1 participant