Skip to content

A group project for EEE308 at Obafemi Awolowo University.

Notifications You must be signed in to change notification settings

prettyirrelevant/fpga-music-box

Repository files navigation

FPGA Music Box

This is a group project that builds a Music Box using Altera De 10 Lite with VHDL.

Group Members

  • Adewumi Isaac
  • Atakere Kester
  • Ayobami Emmanuel
  • Owolabi Opeyemi

Video Demonstration

A demo of the project can be found here.

License

MIT

About

A group project for EEE308 at Obafemi Awolowo University.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages