Skip to content

ci: Add first github and gitlab CI checks #11

ci: Add first github and gitlab CI checks

ci: Add first github and gitlab CI checks #11

GitHub Actions / verible-verilog-lint failed Jul 31, 2023 in 49s

reviewdog [verible-verilog-lint] report

reported by reviewdog 🐶

Findings (2353)

hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|119 col 101| Line length exceeds max: 100; is: 101 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|129 col 101| Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|130 col 101| Line length exceeds max: 100; is: 123 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|351 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|352 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|353 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|354 col 101| Line length exceeds max: 100; is: 151 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|358 col 101| Line length exceeds max: 100; is: 166 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|362 col 101| Line length exceeds max: 100; is: 159 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|366 col 101| Line length exceeds max: 100; is: 186 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|367 col 101| Line length exceeds max: 100; is: 120 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|370 col 101| Line length exceeds max: 100; is: 157 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|371 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|376 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|379 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv|387 col 28| File must end with a newline. [Style: posix-file-endings] [posix-eof]
hw/vendor/openhwgroup_cva6/common/local/util/instr_tracer_if.sv|59 col 101| Line length exceeds max: 100; is: 108 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|21 col 101| Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|56 col 14| Explicitly define a storage type for every parameter and localparam, (BURST_SIZE). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|57 col 14| Explicitly define a storage type for every parameter and localparam, (ADDR_INDEX). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|57 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|59 col 3| enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|60 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|107 col 101| Line length exceeds max: 100; is: 155 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|142 col 7| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|175 col 53| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|203 col 53| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|338 col 64| Binary literal 64'b1 has less digits than expected for 64 bits. [Style: number-literals] [undersized-binary-literal]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|338 col 72| Binary literal 64'b1 has less digits than expected for 64 bits. [Style: number-literals] [undersized-binary-literal]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|437 col 101| Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|438 col 101| Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|439 col 101| Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|440 col 101| Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|441 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|442 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|443 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv|444 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|59 col 15| Explicitly define a storage type for every parameter and localparam, (LOG_DATA_BITW). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|63 col 15| Explicitly define a storage type for every parameter and localparam, (NUM_LOG_ENTRIES). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|96 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|97 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|98 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|99 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|100 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|101 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|102 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|105 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|106 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|107 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|108 col 22| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|115 col 3| enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]
hw/vendor/openhwgroup_cva6/vendor/pulp-platform/fpga-support/rtl/BramLogger.sv|184 col 7| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|136 col 20| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|137 col 20| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|138 col 20| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|162 col 36| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|167 col 36| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|177 col 16| Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|181 col 16| Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|184 col 23| Explicitly define static or automatic lifetime for non-class functions [Style: function-task-explicit-lifetime] [explicit-function-lifetime]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|193 col 40| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
hw/vendor/pulp_platform_axi_tlb/test/tb_axi_tlb.sv|193 col 72| Unpacked dimension range must be declared in big-endian ([0:N-1]) order. Declare zero-based big-endian unpacked dimensions sized as [N]. [Style: unpacked-ordering] [unpacked-dimensions-range-ordering]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|47 col 101| Line length exceeds max: 100; is: 159 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|54 col 101| Line length exceeds max: 100; is: 150 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|59 col 101| Line length exceeds max: 100; is: 157 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|69 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|72 col 101| Line length exceeds max: 100; is: 163 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|78 col 101| Line length exceeds max: 100; is: 164 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|83 col 101| Line length exceeds max: 100; is: 171 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|92 col 101| Line length exceeds max: 100; is: 166 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|94 col 101| Line length exceeds max: 100; is: 177 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|107 col 21| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|110 col 101| Line length exceeds max: 100; is: 137 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|113 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|117 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|118 col 101| Line length exceeds max: 100; is: 147 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|124 col 101| Line length exceeds max: 100; is: 185 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|124 col 165| Binary literal 5'b1 has less digits than expected for 5 bits. [Style: number-literals] [undersized-binary-literal]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|127 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|133 col 101| Line length exceeds max: 100; is: 128 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|138 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|142 col 101| Line length exceeds max: 100; is: 156 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|150 col 29| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|154 col 101| Line length exceeds max: 100; is: 165 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|159 col 101| Line length exceeds max: 100; is: 159 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|164 col 37| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|166 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|171 col 101| Line length exceeds max: 100; is: 145 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|176 col 101| Line length exceeds max: 100; is: 145 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|181 col 101| Line length exceeds max: 100; is: 145 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|186 col 101| Line length exceeds max: 100; is: 154 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|190 col 101| Line length exceeds max: 100; is: 154 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|207 col 101| Line length exceeds max: 100; is: 195 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|213 col 101| Line length exceeds max: 100; is: 191 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|223 col 101| Line length exceeds max: 100; is: 126 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|228 col 101| Line length exceeds max: 100; is: 141 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|233 col 101| Line length exceeds max: 100; is: 138 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|243 col 101| Line length exceeds max: 100; is: 143 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|246 col 101| Line length exceeds max: 100; is: 144 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|253 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|263 col 101| Line length exceeds max: 100; is: 112 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|270 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|277 col 101| Line length exceeds max: 100; is: 143 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|282 col 101| Line length exceeds max: 100; is: 139 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|291 col 101| Line length exceeds max: 100; is: 145 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/compressed_decoder.sv|293 col 101| Line length exceeds max: 100; is: 145 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|19 col 13| Explicitly define a storage type for every parameter and localparam, (WIDTH). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|29 col 101| Line length exceeds max: 100; is: 129 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|43 col 3| enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|85 col 101| Line length exceeds max: 100; is: 148 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|85 col 148| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|135 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|164 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/serdiv.sv|218 col 101| Line length exceeds max: 100; is: 115 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv|33 col 101| Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv|50 col 14| Explicitly define a storage type for every parameter and localparam, (AxiNumWords). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv|50 col 101| Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv|51 col 101| Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/cache_subsystem/cva6_icache_axi_wrapper.sv|218 col 37| File must end with a newline. [Style: posix-file-endings] [posix-eof]
hw/vendor/openhwgroup_cva6/core/axi_shim.sv|23 col 101| Line length exceeds max: 100; is: 119 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/axi_shim.sv|24 col 101| Line length exceeds max: 100; is: 117 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/axi_shim.sv|40 col 101| Line length exceeds max: 100; is: 129 [Style: line-length] [line-length]
hw/vendor/openhwgroup_cva6/core/axi_shim.sv|71 col 14| Explicitly define a storage type for every parameter and localparam, (AddrIndex). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/axi_shim.sv|77 col 3| enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|11 col 9| Package declaration name must match the file name (ignoring optional "_pkg" file name suffix). declaration: "cva6_config_pkg" vs. basename(file): "cv32a60x_config_pkg" [Style: file-names] [package-filename]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|13 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigXlen). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|15 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigFpuEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|16 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigF16En). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|17 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigF16AltEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|18 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigF8En). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|19 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigF8AltEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|20 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigFVecEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|22 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigCvxifEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|23 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigCExtEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|24 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigAExtEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|26 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigFetchUserEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|27 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigFetchUserWidth). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|28 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDataUserEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|29 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDataUserWidth). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|31 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigRenameEn). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|33 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigIcacheByteSize). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|34 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigIcacheSetAssoc). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|35 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigIcacheLineWidth). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|36 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDcacheByteSize). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|37 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDcacheSetAssoc). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|38 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDcacheLineWidth). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|40 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigDcacheIdWidth). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|42 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigNrCommitPorts). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|43 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigNrScoreboardEntries). [Style: constants] [explicit-parameter-storage-type]
hw/vendor/openhwgroup_cva6/core/include/cv32a60x_config_pkg.sv|45 col 16| Explicitly define a storage type for every parameter and localparam, (CVA6ConfigFPGAEn). [Style: constants] [explicit-parameter-storage-type]
... (Too many findings. Dropped some findings)

Filtered Findings (0)

Annotations

Check warning on line 119 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L119

Line length exceeds max: 100; is: 101 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 101 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:119 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 129 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L129

Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:129 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 130 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L130

Line length exceeds max: 100; is: 123 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 123 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:130 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 351 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L351

Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:351 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 352 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L352

Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:352 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 353 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L353

Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:353 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 354 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L354

Line length exceeds max: 100; is: 151 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 151 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:354 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 358 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L358

Line length exceeds max: 100; is: 166 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 166 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:358 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 362 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L362

Line length exceeds max: 100; is: 159 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 159 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:362 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 366 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L366

Line length exceeds max: 100; is: 186 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 186 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:366 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 367 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L367

Line length exceeds max: 100; is: 120 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 120 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:367 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 370 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L370

Line length exceeds max: 100; is: 157 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 157 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:370 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 371 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L371

Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:371 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 376 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L376

Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:376 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 379 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L379

Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:379 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 387 in hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv#L387

File must end with a newline. [Style: posix-file-endings] [posix-eof]
Raw output
message:"File must end with a newline. [Style: posix-file-endings] [posix-eof]" location:{path:"./hw/vendor/openhwgroup_cva6/core/cache_subsystem/wt_l15_adapter.sv" range:{start:{line:387 column:28}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:387 column:28} end:{line:388}} text:"endmodule // wt_l15_adapter\n"}

Check warning on line 59 in hw/vendor/openhwgroup_cva6/common/local/util/instr_tracer_if.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/common/local/util/instr_tracer_if.sv#L59

Line length exceeds max: 100; is: 108 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 108 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/common/local/util/instr_tracer_if.sv" range:{start:{line:59 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 21 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L21

Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:21 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 56 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L56

Explicitly define a storage type for every parameter and localparam, (BURST_SIZE). [Style: constants] [explicit-parameter-storage-type]
Raw output
message:"Explicitly define a storage type for every parameter and localparam, (BURST_SIZE). [Style: constants] [explicit-parameter-storage-type]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:56 column:14}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 57 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L57

Explicitly define a storage type for every parameter and localparam, (ADDR_INDEX). [Style: constants] [explicit-parameter-storage-type]
Raw output
message:"Explicitly define a storage type for every parameter and localparam, (ADDR_INDEX). [Style: constants] [explicit-parameter-storage-type]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:57 column:14}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 57 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L57

Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:57 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 59 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L59

enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]
Raw output
message:"enum types always should be named using typedef. [Style: typedef-enums] [typedef-enums]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:59 column:3}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 60 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L60

Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:60 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 107 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L107

Line length exceeds max: 100; is: 155 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 155 [Style: line-length] [line-length]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:107 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}

Check warning on line 142 in hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv

See this annotation in the file changed.

@github-actions github-actions / verible-verilog-lint

[verible-verilog-lint] hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv#L142

Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
Raw output
message:"Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]" location:{path:"./hw/vendor/openhwgroup_cva6/corev_apu/tb/axi_adapter.sv" range:{start:{line:142 column:7}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}