Skip to content
This repository has been archived by the owner on Nov 22, 2023. It is now read-only.

Register Write assertion on register x0 #167

Closed
huettern opened this issue Jun 21, 2021 · 1 comment
Closed

Register Write assertion on register x0 #167

huettern opened this issue Jun 21, 2021 · 1 comment
Labels
bug Something isn't working

Comments

@huettern
Copy link
Collaborator

huettern commented Jun 21, 2021

This assertion should not trigger when writing to x0

`ASSERT(RegWriteKnown, gpr_we |-> !$isunknown(gpr_wdata), clk_i, rst_i)

@huettern huettern added the bug Something isn't working label Jun 21, 2021
@zarubaf
Copy link
Contributor

zarubaf commented Aug 4, 2021

That should be fixed by #180

@zarubaf zarubaf closed this as completed Aug 4, 2021
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
bug Something isn't working
Projects
None yet
Development

No branches or pull requests

2 participants