Skip to content

A template project for the ULX3S ECP5 FPGA board using only Open Source Software

Notifications You must be signed in to change notification settings

q3k/ulx3s-foss-blinky

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

6 Commits
 
 
 
 
 
 
 
 
 
 

Repository files navigation

ECP5 FOSS ULX3S Blinky

This is a simple, sample project that blinks some LEDs on the ULX3S ECP5 FPGA board. It only uses Open Source software to accomplish this goal, from Verilog to bitstream.

Prerequisites

Usage

Clone this repository. Either use it as a starting point for your project, or just copy the files over.

By default, we target the ULX3S with an LFE5U-85F. If you target a different chip, you will have to edit the PART_* variables in the Makefile, and, to use OpenOCD for flashing, you will have to edit the idcode in ulx3s/openocd.cfg.

To build the blinky, run make flash.

Runtime options for Makefile

  • YOSYS - path to Yosys binary. By default, yosys in path.
  • NEXTPNR - path to nextpnr-ecp5 binary. By default, nextpnr-ecp5 in path.
  • ECPPACK - path to ecppack from prjtrellis. By default, ecppack in path.
  • TRELLIS - path to prjtrellis installation directory. By default, /usr/share/terllis.

License

The blinky code comes from nextpnr and is licensed under the ISC License.

The Makefile, LPF constraint file, OpenOCD script, title photograph and this README are under CC0.

About

A template project for the ULX3S ECP5 FPGA board using only Open Source Software

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages