Skip to content

Commit

Permalink
hw/pci-bridge: Constify VMState
Browse files Browse the repository at this point in the history
Signed-off-by: Richard Henderson <richard.henderson@linaro.org>
Message-Id: <20231221031652.119827-46-richard.henderson@linaro.org>
  • Loading branch information
rth7680 committed Dec 29, 2023
1 parent 8e5e089 commit f026c57
Show file tree
Hide file tree
Showing 7 changed files with 7 additions and 7 deletions.
2 changes: 1 addition & 1 deletion hw/pci-bridge/gen_pcie_root_port.c
Original file line number Diff line number Diff line change
Expand Up @@ -117,7 +117,7 @@ static const VMStateDescription vmstate_rp_dev = {
.version_id = 1,
.minimum_version_id = 1,
.post_load = pcie_cap_slot_post_load,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj.parent_obj.parent_obj, PCIESlot),
VMSTATE_STRUCT(parent_obj.parent_obj.parent_obj.exp.aer_log,
PCIESlot, 0, vmstate_pcie_aer_log, PCIEAERLog),
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/i82801b11.c
Original file line number Diff line number Diff line change
Expand Up @@ -81,7 +81,7 @@ static void i82801b11_bridge_realize(PCIDevice *d, Error **errp)
static const VMStateDescription i82801b11_bridge_dev_vmstate = {
.name = "i82801b11_bridge",
.priority = MIG_PRI_PCI_BUS,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj, PCIBridge),
VMSTATE_END_OF_LIST()
}
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/ioh3420.c
Original file line number Diff line number Diff line change
Expand Up @@ -88,7 +88,7 @@ static const VMStateDescription vmstate_ioh3420 = {
.version_id = 1,
.minimum_version_id = 1,
.post_load = pcie_cap_slot_post_load,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj.parent_obj.parent_obj, PCIESlot),
VMSTATE_STRUCT(parent_obj.parent_obj.parent_obj.exp.aer_log,
PCIESlot, 0, vmstate_pcie_aer_log, PCIEAERLog),
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/pci_bridge_dev.c
Original file line number Diff line number Diff line change
Expand Up @@ -199,7 +199,7 @@ static bool pci_device_shpc_present(void *opaque, int version_id)
static const VMStateDescription pci_bridge_dev_vmstate = {
.name = "pci_bridge",
.priority = MIG_PRI_PCI_BUS,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj, PCIBridge),
SHPC_VMSTATE(shpc, PCIDevice, pci_device_shpc_present),
VMSTATE_END_OF_LIST()
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/pcie_pci_bridge.c
Original file line number Diff line number Diff line change
Expand Up @@ -132,7 +132,7 @@ static Property pcie_pci_bridge_dev_properties[] = {
static const VMStateDescription pcie_pci_bridge_dev_vmstate = {
.name = TYPE_PCIE_PCI_BRIDGE_DEV,
.priority = MIG_PRI_PCI_BUS,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj, PCIBridge),
SHPC_VMSTATE(shpc, PCIDevice, NULL),
VMSTATE_END_OF_LIST()
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/xio3130_downstream.c
Original file line number Diff line number Diff line change
Expand Up @@ -146,7 +146,7 @@ static const VMStateDescription vmstate_xio3130_downstream = {
.version_id = 1,
.minimum_version_id = 1,
.post_load = pcie_cap_slot_post_load,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj.parent_obj.parent_obj, PCIESlot),
VMSTATE_STRUCT(parent_obj.parent_obj.parent_obj.exp.aer_log,
PCIESlot, 0, vmstate_pcie_aer_log, PCIEAERLog),
Expand Down
2 changes: 1 addition & 1 deletion hw/pci-bridge/xio3130_upstream.c
Original file line number Diff line number Diff line change
Expand Up @@ -115,7 +115,7 @@ static const VMStateDescription vmstate_xio3130_upstream = {
.priority = MIG_PRI_PCI_BUS,
.version_id = 1,
.minimum_version_id = 1,
.fields = (VMStateField[]) {
.fields = (const VMStateField[]) {
VMSTATE_PCI_DEVICE(parent_obj.parent_obj, PCIEPort),
VMSTATE_STRUCT(parent_obj.parent_obj.exp.aer_log, PCIEPort, 0,
vmstate_pcie_aer_log, PCIEAERLog),
Expand Down

0 comments on commit f026c57

Please sign in to comment.