Skip to content

Demonstrating continuous integration of hdl codes using travis-ci

License

Notifications You must be signed in to change notification settings

raczben/travis-hdl

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

7 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

travis-hdl

Demonstrating continuous integration of hdl codes using travis-ci

This badge is a live build status on travis-ci:

Build Status License: GPL v3

This is a sample repository for this medium study.

Fortunately there are more and more open-source FPGA projects. There are some open testing frameworks, testing libraries, there are open simulators for hardware descriptor languages. There are several hosted continuous integration service. But none of them supports HDL codes natively. This repository shows a workaround to test opensource FPGA projects continuously.


Pre-requirements

I used the following tools:

  • VHDL language
  • UVVM open-source VHDL testbench infrastructure (optional)
  • GHDL open-source simulator for the VHDL language
  • Docker virtualization software
  • Travis-CI continuous integration service
  • Github to store your open source project.

Structure

Now there are only two simple hdl source folder:

The compiler and runner scripts located inside the source folders beside the source files. These scripts are called by the docker, which can run on travis-ci.

About

Demonstrating continuous integration of hdl codes using travis-ci

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published