Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

What should be the constraints on sys_clk_clk_p and _n #36

Closed
mayureshw opened this issue Oct 19, 2023 · 2 comments
Closed

What should be the constraints on sys_clk_clk_p and _n #36

mayureshw opened this issue Oct 19, 2023 · 2 comments

Comments

@mayureshw
Copy link

I see IOSTANDARD property for sys_clk_clk_p and _n in normal.xdc

What are the PACKAGE_PIN and create_clock constraints needed on these ports?

The comment in the xdc suggests, MIG might be setting those. But I am not using MIG in my design.

###############################################################################
# DDR
###############################################################################
# Note: Most of the pins are set in the constraints file created by MIG
set_property IOSTANDARD LVDS_25 [get_ports sys_clk_clk_p]
set_property IOSTANDARD LVDS_25 [get_ports sys_clk_clk_n]
@vogma
Copy link

vogma commented Nov 10, 2023

Hi @mayureshw,

correct, the PACKAGE_PIN and create_clock constraints are set by the MIG Constraint File.
You can find this file in the Vivado GUI under Sources -> IP Sources Tab -> Synthesis -> Top_mig_7series_0_0 -> Top_mig_7series_0_0.xdc or in the project structure under project.gen/sources_1/bd/Top/ip/Top_mig_7series_0_0_1/Top_mig_7series_0_0/user_design/constraints

Hope this helps.
Marco

@mayureshw
Copy link
Author

Ok

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants