Skip to content

Commit

Permalink
Add version metadata
Browse files Browse the repository at this point in the history
  • Loading branch information
rm-hull committed Jan 19, 2020
1 parent cb6f432 commit 7864bbc
Showing 1 changed file with 1 addition and 0 deletions.
1 change: 1 addition & 0 deletions luma/oled/device/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -522,6 +522,7 @@ class ssd1362(greyscale_device):
``diff_to_previous`` or ``full_frame`` are only supported
:type framebuffer: str
.. versionadded:: 3.4.0
"""
def __init__(self, serial_interface=None, width=256, height=64, rotate=0,
mode="RGB", framebuffer="diff_to_previous", **kwargs):
Expand Down

0 comments on commit 7864bbc

Please sign in to comment.