Skip to content

Commit

Permalink
Update test_ssd1362.py
Browse files Browse the repository at this point in the history
  • Loading branch information
rm-hull committed Jan 19, 2020
1 parent 4cd21c3 commit b15f546
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion tests/test_ssd1362.py
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
#!/usr/bin/env python
# -*- coding: utf-8 -*-
# Copyright (c) 2014-18 Richard Hull and contributors
# Copyright (c) 2014-2020 Richard Hull and contributors
# See LICENSE.rst for details.

from luma.oled.device import ssd1362
Expand Down

0 comments on commit b15f546

Please sign in to comment.