Skip to content

Latest commit

 

History

History
32 lines (26 loc) · 483 Bytes

ghdl-befehle.md

File metadata and controls

32 lines (26 loc) · 483 Bytes

-s = check syntax

ghdl -s ha.vhdl

-a = analyse = Kompilierung

ghdl -a ha_tb.vhdl

-e = elaborate = Executable build

ghdl -e ha_tb

-r = run !Wichtig! Es muss der Name der entity ausgegeben werden

ghdl -r ha_tb

--vcd = Erstellt .vcd Dump

ghdl -r ha_tb --vcd=ha.vcd

Startet GTKWave - wie sollen die Ausgaben gelesen werden?

gtkwave ha.vcd