Skip to content

Latest commit

 

History

History
16 lines (10 loc) · 1.24 KB

README.md

File metadata and controls

16 lines (10 loc) · 1.24 KB

Automatic Washing Machine Controller

This project demonstrates the implementation of an Automatic Washing Machine controller using Verilog Hardware Description Language (HDL). The controller is designed using a Finite-State Machine (FSM) model and simulated using MODELSIM software.

Project Report

For detailed information about the project, including specifications, block diagram, FSM diagram, Verilog code, test bench, waveform, and simulation results, please refer to the project report:

HDL Project Report

Overview

The Automatic Washing Machine controller is designed to control the various functionalities of a washing machine. It operates within distinct states, including Check_Door, Fill_Water, Add_Detergent, Drain_Water, and Spin. The controller consists of two main blocks: a Finite-State Machine (FSM) block and a Timer block.

Conclusion

This project demonstrates the practical application of Verilog HDL in the development of an Automatic Washing Machine controller. By implementing the controller using an FSM model, we have showcased the versatility of Verilog HDL in designing complex control systems.

For more details, please refer to the project report.