Skip to content

Commit

Permalink
Additional changes for SDSoC support
Browse files Browse the repository at this point in the history
This project is still incomplete and in development.

-Changed video input pipeline to use 8-bit grayscale input. This reduces
DDR bandwidth used by the input pipeline. This will likey be changed to
YUYV (aka YUV422) input with subsampling before launch so that color
input is possible.

-Changed clock speed of AXI memory interconnect to ~120 MHZ. This allows
the design to meet timing, but makes 1080p input or output not possible
because the axi stream buses are also currently clocked with this clock.
This should probably be changed before launch to support 1080p again (at
least on the output).

-Added notes to SDSoC staging area describing that Linux support is
currently broken.

-Remove lib_bsp because you are not supposed to include libxil.a in
SDSoC 2016.4 because it will automatically generate a BSP for you. For
some reason you still need to include the "include" folder though.
  • Loading branch information
sbobrowicz committed Mar 10, 2017
1 parent 4678335 commit 55cc502
Show file tree
Hide file tree
Showing 270 changed files with 498,061 additions and 89,115 deletions.
Binary file modified hw_handoff/Arty_Z7_20_wrapper.hdf
Binary file not shown.
Binary file modified sdk/Arty_Z7_20_wrapper_hw_platform_0/system.hdf
Binary file not shown.
12 changes: 12 additions & 0 deletions sdk/displaydemo_bsp/system.mss
Expand Up @@ -288,4 +288,16 @@ BEGIN DRIVER
PARAMETER HW_INSTANCE = xadc_wiz_0
END

BEGIN DRIVER
PARAMETER DRIVER_NAME = gpio
PARAMETER DRIVER_VER = 4.3
PARAMETER HW_INSTANCE = axi_gpio_video
END

BEGIN DRIVER
PARAMETER DRIVER_NAME = vtc
PARAMETER DRIVER_VER = 7.2
PARAMETER HW_INSTANCE = v_tc_1
END


12 changes: 12 additions & 0 deletions sdk/fsbl_bsp/system.mss
Expand Up @@ -288,6 +288,18 @@ BEGIN DRIVER
PARAMETER HW_INSTANCE = xadc_wiz_0
END

BEGIN DRIVER
PARAMETER DRIVER_NAME = gpio
PARAMETER DRIVER_VER = 4.3
PARAMETER HW_INSTANCE = axi_gpio_video
END

BEGIN DRIVER
PARAMETER DRIVER_NAME = vtc
PARAMETER DRIVER_VER = 7.2
PARAMETER HW_INSTANCE = v_tc_1
END


BEGIN LIBRARY
PARAMETER LIBRARY_NAME = xilffs
Expand Down
1,769 changes: 1,539 additions & 230 deletions src/bd/Arty_Z7_20/Arty_Z7_20.bd

Large diffs are not rendered by default.

72 changes: 68 additions & 4 deletions src/bd/Arty_Z7_20/Arty_Z7_20.bxml
Expand Up @@ -2,9 +2,9 @@
<Root MajorVersion="0" MinorVersion="33">
<CompositeFile CompositeFileTopName="Arty_Z7_20" CanBeSetAsTop="false" CanDisplayChildGraph="true">
<Description>Composite Fileset</Description>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1488218731"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1488218731"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1488218731"/>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1488829463"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1488829463"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1488829463"/>
<FileCollection Name="SOURCES" Type="SOURCES">
<File Name="ip\Arty_Z7_20_axi_dynclk_0_0\Arty_Z7_20_axi_dynclk_0_0.xci" Type="IP">
<Instance HierarchyPath="axi_dynclk_0"/>
Expand Down Expand Up @@ -212,6 +212,70 @@
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_dvi2rgb_0_0\Arty_Z7_20_dvi2rgb_0_0.xci" Type="IP">
<Instance HierarchyPath="dvi2rgb_0"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_v_vid_in_axi4s_0_0\Arty_Z7_20_v_vid_in_axi4s_0_0.xci" Type="IP">
<Instance HierarchyPath="v_vid_in_axi4s_0"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_proc_sys_reset_0_3\Arty_Z7_20_proc_sys_reset_0_3.xci" Type="IP">
<Instance HierarchyPath="proc_sys_reset_0"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_v_tc_1_0\Arty_Z7_20_v_tc_1_0.xci" Type="IP">
<Instance HierarchyPath="v_tc_1"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_xbar_1\Arty_Z7_20_xbar_1.xci" Type="IP">
<Instance HierarchyPath="axi_mem_intercon/xbar"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_axi_gpio_0_0\Arty_Z7_20_axi_gpio_0_0.xci" Type="IP">
<Instance HierarchyPath="axi_gpio_video"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_axis_subset_converter_0_1\Arty_Z7_20_axis_subset_converter_0_1.xci" Type="IP">
<Instance HierarchyPath="axis_subset_converter_1"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_v_rgb2ycrcb_0_0\Arty_Z7_20_v_rgb2ycrcb_0_0.xci" Type="IP">
<Instance HierarchyPath="v_rgb2ycrcb_0"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
<UsedIn Val="IMPLEMENTATION"/>
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_s00_regslice_0\Arty_Z7_20_s00_regslice_0.xci" Type="IP">
<Instance HierarchyPath="axi_mem_intercon/s00_couplers/s00_regslice"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
Expand All @@ -229,7 +293,7 @@
<UsedIn Val="SIMULATION"/>
</File>
<File Name="ip\Arty_Z7_20_auto_pc_0\Arty_Z7_20_auto_pc_0.xci" Type="IP">
<Instance HierarchyPath="axi_mem_intercon/s00_couplers/auto_pc"/>
<Instance HierarchyPath="axi_mem_intercon/m00_couplers/auto_pc"/>
<Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/>
<Library Name="xil_defaultlib"/>
<UsedIn Val="SYNTHESIS"/>
Expand Down
4 changes: 3 additions & 1 deletion src/bd/Arty_Z7_20/Arty_Z7_20_ooc.xdc
Expand Up @@ -7,8 +7,10 @@
# of Vivado)
################################################################################
create_clock -name sys_clock -period 8 [get_ports sys_clock]
create_clock -name TMDS_In_clk_p -period 10 [get_ports TMDS_In_clk_p]
create_clock -name TMDS_In_clk_n -period 10 [get_ports TMDS_In_clk_n]
create_clock -name processing_system7_0_FCLK_CLK0 -period 10 [get_pins processing_system7_0/FCLK_CLK0]
create_clock -name processing_system7_0_FCLK_CLK1 -period 7 [get_pins processing_system7_0/FCLK_CLK1]
create_clock -name processing_system7_0_FCLK_CLK1 -period 8.462 [get_pins processing_system7_0/FCLK_CLK1]
create_clock -name processing_system7_0_FCLK_CLK2 -period 13 [get_pins processing_system7_0/FCLK_CLK2]
create_clock -name processing_system7_0_FCLK_CLK3 -period 20 [get_pins processing_system7_0/FCLK_CLK3]

Expand Down
Binary file modified src/bd/Arty_Z7_20/hdl/Arty_Z7_20.hwdef
Binary file not shown.

0 comments on commit 55cc502

Please sign in to comment.