Skip to content

Commit

Permalink
expand package detection and bump version
Browse files Browse the repository at this point in the history
  • Loading branch information
sgherbst committed May 29, 2020
1 parent 2e663c9 commit eabfb93
Show file tree
Hide file tree
Showing 2 changed files with 22 additions and 6 deletions.
12 changes: 6 additions & 6 deletions Cargo.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[package]
name = "svinst"
version = "0.0.9"
version = "0.1.0"
authors = ["sgherbst@gmail.com"]
repository = "https://github.com/sgherbst/svinst"
keywords = ["parser", "verilog", "systemverilog"]
Expand All @@ -11,8 +11,8 @@ description = "Determines the modules declared and instantiated in SystemVerilog
edition = "2018"

[dependencies]
sv-parser = "0.6.3"
sv-parser-error = "0.6.3"
sv-parser-syntaxtree = "0.6.3"
structopt = "0.3.2"
enquote = "1.0"
sv-parser = "0.7.0"
sv-parser-error = "0.7.0"
sv-parser-syntaxtree = "0.7.0"
structopt = "0.3.14"
enquote = "1.0.3"
16 changes: 16 additions & 0 deletions src/main.rs
Original file line number Diff line number Diff line change
Expand Up @@ -295,6 +295,22 @@ fn analyze_defs(
None => { continue; },
Some(x) => x
};
println!(" - pkg_name: \"{}\"", id);
}
RefNode::ImplicitClassHandleOrClassScopeOrPackageScope(x) => {
// write the package name
let id = match unwrap_node!(x, ClassIdentifier) {
None => { continue; },
Some(x) => x
};
let id = match get_identifier(id) {
None => { continue; },
Some(x) => x
};
let id = match syntax_tree.get_str(&id) {
None => { continue; },
Some(x) => x
};
println!(" - pkg_name: \"{}\"", id);
}
_ => (),
Expand Down

0 comments on commit eabfb93

Please sign in to comment.