Skip to content

shipshupe/verilog-testbench

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

2 Commits
 
 
 
 
 
 

Repository files navigation

Learning Verilog Notes

Good exercises to model IC logic into verilog from a datasheet

Setup

apt install iverilog verilator gtkwave

Running & testing

iverilog -o tb module_testbench.v && vvp tb

About

Learning verilog

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages