Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

adding ariane #44

Merged
merged 7 commits into from
Apr 26, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Jump to
Jump to file
Failed to load files.
Diff view
Diff view
12 changes: 6 additions & 6 deletions .github/workflows/config/designs.json
Original file line number Diff line number Diff line change
Expand Up @@ -44,10 +44,10 @@
"target": "asap7_asap7sc7p5t_lvt"
},
{
"cache": true,
"cache": false,
"design": "ariane",
"remote": false,
"skip": "Requires too much memory for github runner",
"skip": "Global routing congestion",
"target": "asap7_asap7sc7p5t_slvt"
},
{
Expand Down Expand Up @@ -228,10 +228,10 @@
"target": "gf180_demo"
},
{
"cache": true,
"cache": false,
"design": "caliptra-sha512",
"remote": false,
"skip": "Times out on github runner",
"skip": "Ends with loads of DRC violations",
"target": "gf180_gf180mcu_fd_sc_mcu7t5v0"
},
{
Expand Down Expand Up @@ -651,10 +651,10 @@
"target": "freepdk45_demo"
},
{
"cache": true,
"cache": false,
"design": "swerv",
"remote": false,
"skip": "Takes too long to complete on github runner.",
"skip": "Routing fails in detail route with: Error: net17712 24 pin not visited #guides = 156",
"target": "gf180_demo"
},
{
Expand Down
Binary file added images/ariane_job0_asap7sc7p5t_lvt.png
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file modified images/ariane_job0_freepdk45_demo.png
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file added images/ariane_job0_skywater130_demo.png
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
31 changes: 16 additions & 15 deletions scgallery/designs/ariane/ariane.py
Original file line number Diff line number Diff line change
Expand Up @@ -82,27 +82,28 @@ def setup(target=freepdk45_demo):
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'macro_place_channel',
['20', '20'])
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_min_instances',
'5000')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_max_instances',
'30000')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_min_macros',
'16')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_max_macros',
'4')
elif mainlib.startswith('asap7sc7p5t'):
chip.set('constraint', 'density', 40)
chip.set('tool', 'openroad', 'task', 'route', 'var', 'M2_adjustment', '0.7')
chip.set('tool', 'openroad', 'task', 'route', 'var', 'M3_adjustment', '0.6')
chip.set('tool', 'openroad', 'task', 'route', 'var', 'grt_signal_max_layer', 'M8')
chip.set('tool', 'openroad', 'task', 'route', 'var', 'grt_clock_max_layer', 'M8')
chip.set('pdk', 'asap7', 'maxlayer', chip.get('option', 'stackup'), 'M8')
chip.set('tool', 'openroad', 'task', 'place', 'var', 'gpl_uniform_placement_adjustment',
'0.05')
elif mainlib.startswith('sky130'):
chip.set('constraint', 'density', 40)
chip.set('tool', 'yosys', 'task', 'syn_asic', 'var', 'abc_clock_derating', '0.95')

chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_min_instances',
'5000')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_max_instances',
'30000')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_min_macros',
'16')
chip.set('tool', 'openroad', 'task', 'floorplan', 'var',
'rtlmp_max_macros',
'4')

return chip

Expand Down
2 changes: 1 addition & 1 deletion scgallery/designs/ariane/constraints/sky130hd.sdc
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
set clk_period 40.0
set clk_period 115
set clk_io_pct 0.2

set clk_port [get_ports clk_i]
Expand Down