Skip to content

Commit

Permalink
Merge pull request #2425 from siliconcompiler/version0.12.13
Browse files Browse the repository at this point in the history
version 0.12.13
  • Loading branch information
gadfort committed May 15, 2024
2 parents f34529b + 52c62e8 commit 53fb4cd
Show file tree
Hide file tree
Showing 2 changed files with 11 additions and 1 deletion.
10 changes: 10 additions & 0 deletions Changes
Original file line number Diff line number Diff line change
Expand Up @@ -8,6 +8,16 @@ The changes in each SiliconCompiler release version are described below. Commit
version shown in (). Where applicable, the contributors that suggested a given
feature are shown in [].

SiliconCompiler 0.21.13 (2024-05-15)
=========================================

**Minor:**

* Tools:

* openroad: fixed run issues related to placement density selection and missing DRV reporting.


SiliconCompiler 0.21.12 (2024-05-13)
=========================================

Expand Down
2 changes: 1 addition & 1 deletion siliconcompiler/_metadata.py
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# Version number following semver standard.
version = '0.21.12'
version = '0.21.13'

# Default server address for remote runs, if unspecified.
default_server = 'https://server.siliconcompiler.com'
Expand Down

0 comments on commit 53fb4cd

Please sign in to comment.