Skip to content

Commit

Permalink
Merge pull request #1157 from siliconcompiler/0.9.6
Browse files Browse the repository at this point in the history
Release 0.9.6
  • Loading branch information
aolofsson committed Oct 4, 2022
2 parents 8bcd174 + b777cee commit 77f2f47
Show file tree
Hide file tree
Showing 2 changed files with 18 additions and 1 deletion.
17 changes: 17 additions & 0 deletions Changes
Original file line number Diff line number Diff line change
Expand Up @@ -8,6 +8,23 @@ The changes in each SiliconCompiler release version are described below. Commit
version shown in (). Where applicable, the contributors that suggested a given
feature are shown in [].

SiliconCompiler 0.9.6 (2022-10-03)
=========================================

**Major:**

* Fixed bug that causes tool setup information to be lost when running a flow in multiple chunks using a steplist.

**Minor:**

* Fixed old schema references in Yosys synthesis strategy scripts.
* Updated error message for missing file requirements.
* Updated OpenROAD scripts to handle multiple LEF files.
* Updated KLayout driver to use batch mode flag and capture more warnings.
* Updated Verilator driver to implement ['option', 'trace], ['option', 'warningoff'], and provide passthroughs for CFLAGS and LDFLAGS.
* Removed support for 'extraopts' passthrough in Verilator driver.
* Updated version of Surelog bundled with wheels distribution.

SiliconCompiler 0.9.5 (2022-09-12)
=========================================

Expand Down
2 changes: 1 addition & 1 deletion siliconcompiler/_metadata.py
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# Version number following semver standard.
version = '0.9.5'
version = '0.9.6'

# This is the list of significant contributors to SiliconCompiler in
# chronological order.
Expand Down

0 comments on commit 77f2f47

Please sign in to comment.