Skip to content

Commit

Permalink
Merge pull request #1555 from siliconcompiler/version0.11.2
Browse files Browse the repository at this point in the history
version0.11.2
  • Loading branch information
gadfort committed May 15, 2023
2 parents 716656f + d093c0c commit c0d405d
Show file tree
Hide file tree
Showing 2 changed files with 19 additions and 1 deletion.
18 changes: 18 additions & 0 deletions Changes
Original file line number Diff line number Diff line change
Expand Up @@ -8,6 +8,24 @@ The changes in each SiliconCompiler release version are described below. Commit
version shown in (). Where applicable, the contributors that suggested a given
feature are shown in [].

SiliconCompiler 0.11.2 (2023-05-15)
=========================================

**Major:**

* Updated ``sc-issue`` to generate self-contained testcases to allow for better sharing of testcases.
* Updated klayout tool driver to support map-file option for DEF-GDS export step, and remove need for hard coded options in ``.lyt`` file in favor of getting values from the schema.

**Minor:**

* Updated loading order to target in commandline interface to ensure schema parameers are set before loading target.
* Error checking for flowgraphs with duplicated edges.
* Added ``-ext`` to ``sc-show`` command to control what file is opened when multiple files are available.
* Tools:

* OpenROAD - added flags to control antenna repairs: ['tool', 'openroad', 'task', 'route', 'var', 'ant_check'] and ['tool', 'openroad', 'task', 'route', 'var', 'ant_repair']; added clock buffer selection option from the library with ['library', lib, 'option', 'openroad_cts_clock_buffer']


SiliconCompiler 0.11.1 (2023-05-03)
=========================================

Expand Down
2 changes: 1 addition & 1 deletion siliconcompiler/_metadata.py
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
# Version number following semver standard.
version = '0.11.1'
version = '0.11.2'

# Default server address for remote runs, if unspecified.
default_server = 'https://server.siliconcompiler.com'
Expand Down

0 comments on commit c0d405d

Please sign in to comment.