/
vivado_15878.backup.jou
151 lines (151 loc) · 9.17 KB
/
vivado_15878.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
#-----------------------------------------------------------
# Vivado v2015.1 (64-bit)
# SW Build 1215546 on Mon Apr 27 19:07:21 MDT 2015
# IP Build 1209967 on Tue Apr 21 11:39:20 MDT 2015
# Start of session at: Thu Sep 17 22:51:25 2015
# Process ID: 24903
# Log file: /home/thnguyn2/source_code/ECE_527_MP/vivado.log
# Journal file: /home/thnguyn2/source_code/ECE_527_MP/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /home/thnguyn2/source_code/ECE_527_MP/mp2/partA/partA.xpr
close_project
create_project test_oled_ip /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip -part xc7z020clg484-1
set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
set_property ip_repo_paths /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs [current_project]
update_ip_catalog
update_ip_catalog -rebuild
set_property ip_repo_paths {} [current_project]
update_ip_catalog
set_property ip_repo_paths /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs/sources_1/ip [current_project]
update_ip_catalog
set_property ip_repo_paths {} [current_project]
update_ip_catalog
close_project
create_project managed_ip_project /home/thnguyn2/source_code/ECE_527_MP/mp2/manage_oled_ip_location/managed_ip_project -part xc7z020clg484-1 -ip
set_property board_part em.avnet.com:zed:part0:1.3 [current_project]
set_property target_simulator XSim [current_project]
set_property ip_repo_paths /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs [current_project]
update_ip_catalog
update_ip_catalog -rebuild
update_ip_catalog -rebuild
set_property ip_repo_paths {} [current_project]
update_ip_catalog
close_project
open_project /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.xpr
ipx::package_project -root_dir /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP -vendor user.org -library user -taxonomy /UserIP -force
set_property vendor Tan_Enyu [ipx::current_core]
set_property library OLED_ip [ipx::current_core]
reset_run charLib_synth_1
reset_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
ipx::unload_core /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/component.xml
ipx::open_ipxact_file /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/component.xml
set_property core_revision 2 [ipx::current_core]
ipx::create_xgui_files [ipx::current_core]
ipx::update_checksums [ipx::current_core]
ipx::save_core [ipx::current_core]
update_ip_catalog -rebuild -repo_path /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP
ipx::check_integrity -quiet [ipx::current_core]
ipx::archive_core /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/Tan_Enyu_OLED_ip_OLED_ip_1.0.zip [ipx::current_core]
close_project
open_project /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.xpr
set_property ip_repo_paths /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs [current_project]
update_ip_catalog
update_ip_catalog -add_ip /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/Tan_Enyu_OLED_ip_OLED_ip_1.0.zip -repo_path /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs
update_ip_catalog -rebuild
update_ip_catalog -delete_ip Tan_Enyu:OLED_ip:OLED_ip:1.0 -repo_path /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs
update_ip_catalog -rebuild
update_ip_catalog -add_ip /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/Tan_Enyu_OLED_ip_OLED_ip_1.0.zip -repo_path /home/thnguyn2/source_code/ECE_527_MP/mp2/OLED_IP/OLED_porting_2.srcs
create_ip -name OLED_ip -vendor Tan_Enyu -library OLED_ip -version 1.0 -module_name OLED_ip_0
generate_target {instantiation_template} [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
generate_target all [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
create_ip_run [get_files -of_objects [get_fileset sources_1] /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
launch_run -jobs 4 OLED_ip_0_synth_1
file mkdir /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/new
close [ open /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/new/test_led_ip.v w ]
add_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/new/test_led_ip.v
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
create_ip -name OLED_ip -vendor Tan_Enyu -library OLED_ip -version 1.0 -module_name OLED_ip_1
generate_target {instantiation_template} [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_1/OLED_ip_1.xci]
update_compile_order -fileset sources_1
set_property generate_synth_checkpoint false [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_1/OLED_ip_1.xci]
generate_target all [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_1/OLED_ip_1.xci]
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
remove_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_1/OLED_ip_1.xci
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
remove_files -fileset OLED_ip_0 /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci
delete_fileset OLED_ip_0
file delete -force /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0
remove_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_porting_2.srcs/sources_1/ip/charLib.coe
file delete -force /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_porting_2.srcs/sources_1/ip/charLib.coe
create_ip -name OLED_ip -vendor Tan_Enyu -library OLED_ip -version 1.0 -module_name OLED_ip_0
generate_target {instantiation_template} [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
update_compile_order -fileset sources_1
generate_target all [get_files /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
create_ip_run [get_files -of_objects [get_fileset sources_1] /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/sources_1/ip/OLED_ip_0/OLED_ip_0.xci]
launch_run -jobs 4 OLED_ip_0_synth_1
launch_runs OLED_ip_0_impl_1 -jobs 4
wait_on_run OLED_ip_0_impl_1
set_property source_mgmt_mode None [current_project]
synth_design -rtl -name rtl_1
synth_design -rtl -name rtl_1
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[3]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[7]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[2]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[6]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[1]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[5]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[0]}]]
set_property IOSTANDARD LVCMOS33 [get_ports [list {input_sw[4]}]]
set_property package_pin "" [get_ports [list {input_sw[3]}]]
place_ports {input_sw[0]} F22
set_property package_pin "" [get_ports [list {input_sw[2]}]]
place_ports {input_sw[1]} G22
place_ports {input_sw[2]} H22
place_ports {input_sw[3]} F21
place_ports {input_sw[4]} H19
place_ports {input_sw[5]} H18
place_ports {input_sw[6]} H17
place_ports {input_sw[7]} M15
file mkdir /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/constrs_1/new
close [ open /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/constrs_1/new/test_oled_ip_const.xdc w ]
add_files -fileset constrs_1 /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/constrs_1/new/test_oled_ip_const.xdc
set_property target_constrs_file /home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.srcs/constrs_1/new/test_oled_ip_const.xdc [current_fileset -constrset]
save_constraints -force
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
refresh_design
refresh_design
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
refresh_design
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
reset_run synth_1
refresh_design
launch_runs synth_1 -jobs 4
wait_on_run synth_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
reset_run impl_1
launch_runs impl_1 -jobs 4
wait_on_run impl_1
open_hw
connect_hw_server
open_hw_target [lindex [get_hw_targets -of_objects [get_hw_servers localhost]] 0]
current_hw_device [lindex [get_hw_devices] 1]
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices] 1]
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
set_property PROBES.FILE {} [lindex [get_hw_devices] 1]
set_property PROGRAM.FILE {/home/thnguyn2/source_code/ECE_527_MP/mp2/test_oled_ip/test_oled_ip.runs/impl_1/test_led_ip.bit} [lindex [get_hw_devices] 1]
program_hw_devices [lindex [get_hw_devices] 1]
refresh_hw_device [lindex [get_hw_devices] 1]