Skip to content

Commit

Permalink
NECESSARY MANUAL VHD CHANGES
Browse files Browse the repository at this point in the history
  • Loading branch information
lazar2222 committed Aug 21, 2021
1 parent 7f24399 commit 442099d
Show file tree
Hide file tree
Showing 16 changed files with 133 additions and 123 deletions.
56 changes: 23 additions & 33 deletions testing/arilla-test/ArillaCore.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@

-- PROGRAM "Quartus II 64-Bit"
-- VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition"
-- CREATED "Sat Aug 21 01:57:44 2021"
-- CREATED "Sat Aug 21 03:59:07 2021"

LIBRARY ieee;
USE ieee.std_logic_1164.all;
Expand Down Expand Up @@ -193,8 +193,8 @@ COMPONENT signext
GENERIC (in_width : INTEGER;
out_width : INTEGER
);
PORT(I : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
O : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
PORT(I : IN STD_LOGIC_VECTOR(in_width-1 DOWNTO 0);
O : OUT STD_LOGIC_VECTOR(out_width-1 DOWNTO 0)
);
END COMPONENT;

Expand Down Expand Up @@ -384,23 +384,24 @@ ba(0) <= GDFX_TEMP_SIGNAL_8(21);
cc(2) <= GDFX_TEMP_SIGNAL_8(20);
cc(1) <= GDFX_TEMP_SIGNAL_8(19);
cc(0) <= GDFX_TEMP_SIGNAL_8(18);
mxALUOP(0) <= GDFX_TEMP_SIGNAL_8(17);
wrMEM <= GDFX_TEMP_SIGNAL_8(16);
mxMEM <= GDFX_TEMP_SIGNAL_8(15);
mxALUOP(1) <= GDFX_TEMP_SIGNAL_8(14);
wrbrPC <= GDFX_TEMP_SIGNAL_8(13);
mxB(2) <= GDFX_TEMP_SIGNAL_8(12);
mxReg(0) <= GDFX_TEMP_SIGNAL_8(11);
wrReg <= GDFX_TEMP_SIGNAL_8(10);
mxReg(1) <= GDFX_TEMP_SIGNAL_8(9);
wrC <= GDFX_TEMP_SIGNAL_8(8);
mxB(1) <= GDFX_TEMP_SIGNAL_8(7);
wrAB <= GDFX_TEMP_SIGNAL_8(6);
mxB(0) <= GDFX_TEMP_SIGNAL_8(5);
mxA <= GDFX_TEMP_SIGNAL_8(4);
wrPC <= GDFX_TEMP_SIGNAL_8(3);
mxPC <= GDFX_TEMP_SIGNAL_8(2);
wrIR <= GDFX_TEMP_SIGNAL_8(1);
fault_signal <= GDFX_TEMP_SIGNAL_8(17);
mxALUOP(0) <= GDFX_TEMP_SIGNAL_8(16);
wrMEM <= GDFX_TEMP_SIGNAL_8(15);
mxMEM <= GDFX_TEMP_SIGNAL_8(14);
mxALUOP(1) <= GDFX_TEMP_SIGNAL_8(13);
wrbrPC <= GDFX_TEMP_SIGNAL_8(12);
mxB(2) <= GDFX_TEMP_SIGNAL_8(11);
mxReg(0) <= GDFX_TEMP_SIGNAL_8(10);
wrReg <= GDFX_TEMP_SIGNAL_8(9);
mxReg(1) <= GDFX_TEMP_SIGNAL_8(8);
wrC <= GDFX_TEMP_SIGNAL_8(7);
mxB(1) <= GDFX_TEMP_SIGNAL_8(6);
wrAB <= GDFX_TEMP_SIGNAL_8(5);
mxB(0) <= GDFX_TEMP_SIGNAL_8(4);
mxA <= GDFX_TEMP_SIGNAL_8(3);
wrPC <= GDFX_TEMP_SIGNAL_8(2);
mxPC <= GDFX_TEMP_SIGNAL_8(1);
wrIR <= GDFX_TEMP_SIGNAL_8(0);

GDFX_TEMP_SIGNAL_10 <= (jal_immediate(19 DOWNTO 0) & zero);
GDFX_TEMP_SIGNAL_3 <= (zero & one & zero);
Expand Down Expand Up @@ -821,19 +822,8 @@ MemoryWrite <= wrMEM;
MEM <= MemoryDataOut;
MemoryRead <= one;

ba(3 DOWNTO 0) <= GDFX_TEMP_SIGNAL_8(24 DOWNTO 21);
cc(2 DOWNTO 0) <= GDFX_TEMP_SIGNAL_8(20 DOWNTO 18);
fault_signal <= GDFX_TEMP_SIGNAL_8(17);
mxA <= GDFX_TEMP_SIGNAL_8(3);
mxMEM <= GDFX_TEMP_SIGNAL_8(14);
mxPC <= GDFX_TEMP_SIGNAL_8(1);

one <= '1';
wrAB <= GDFX_TEMP_SIGNAL_8(5);
wrbrPC <= GDFX_TEMP_SIGNAL_8(12);
wrC <= GDFX_TEMP_SIGNAL_8(7);
wrIR <= GDFX_TEMP_SIGNAL_8(0);
wrMEM <= GDFX_TEMP_SIGNAL_8(15);
wrPC <= GDFX_TEMP_SIGNAL_8(2);
wrReg <= GDFX_TEMP_SIGNAL_8(9);

zero <= '0';
END bdf_type;
11 changes: 7 additions & 4 deletions testing/arilla-test/ArillaTopLevel.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -139,10 +139,13 @@ PORT MAP(wren => SYNTHESIZED_WIRE_1,
q => SYNTHESIZED_WIRE_0);


b2v_inst8 : controller
PORT MAP(CLK => clk,
PS2_DATA => PS2_DATA,
PS2_CLK => PS2_CLK);
--b2v_inst8 : controller
--PORT MAP(CLK => clk,
-- PS2_DATA => PS2_DATA,
-- PS2_CLK => PS2_CLK,
-- RD => '0',
-- WR => '0',
-- ADDR => (others => '0'));


one <= '1';
Expand Down
4 changes: 2 additions & 2 deletions testing/arilla-test/MDRGenerator.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -51,8 +51,8 @@ COMPONENT signext
GENERIC (in_width : INTEGER;
out_width : INTEGER
);
PORT(I : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
O : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
PORT(I : IN STD_LOGIC_VECTOR(in_width-1 DOWNTO 0);
O : OUT STD_LOGIC_VECTOR(out_width-1 DOWNTO 0)
);
END COMPONENT;

Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_0.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_0 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_1.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_1 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst10 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_2.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_2 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst11 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_3.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_3 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst12 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_4.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_4 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst5 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_5.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_5 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst6 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_6.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_6 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst7 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down
14 changes: 7 additions & 7 deletions testing/arilla-test/lpm_compare_7.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -19,13 +19,13 @@
LIBRARY ieee;
USE ieee.std_logic_1164.all;
-- use the following when compiling in Quartus II
--LIBRARY lpm;
--USE lpm.lpm_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

-- use the following when compiling in third party tools --
-- add lpm_pack.vhd from the Quartus II library
LIBRARY work;
USE work.lpm_components.all;
--LIBRARY work;
--USE work.lpm_components.all;

ENTITY lpm_compare_7 IS
PORT
Expand All @@ -42,11 +42,11 @@ BEGIN
-- instantiate LPM macrofunction

b2v_inst8 : lpm_compare
GENERIC MAP(CHAIN_SIZE => 1,
GENERIC MAP(
LPM_PIPELINE => 0,
LPM_REPRESENTATION => "UNSIGNED",
LPM_WIDTH => 7,
ONE_INPUT_IS_CONSTANT => "YES")
LPM_WIDTH => 7
)
PORT MAP(dataa => dataa,
datab => datab,
aeb => aeb);
Expand Down

0 comments on commit 442099d

Please sign in to comment.