Skip to content
/ vhdocl Public

VHDocL - a VHDL documentation utility - Author Volker Schatz

License

Notifications You must be signed in to change notification settings

tranmh/vhdocl

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

VHDocL

VHDocL is a structural documentation tool for the hardware description language VHDL, similar to doxygen, javadoc and others for software languages. It documents packages and package bodies; entities with their ports and generics; architectures and their instantiations, processes and generate statements; and much more. It outputs interlinked HTML pages of entity and package lists, the instantiation hierarchy, all design elements (entities, packages, ...) and source files converted to HTML with syntax markup.

The upstream repository of VHDocL is not hosted on github, but on the author's web site: http://volkerschatz.com/hardware/vhdocl.html. Volker is old-fashioned and believes git is a distributed RCS that works quite well without a hub. But he wrote this README.md as a template for folks who want to store a branch on github.

This fork

Intended to have a copy of Volkers version for better collaboration with other developers.

About

VHDocL - a VHDL documentation utility - Author Volker Schatz

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

 
 
 

Languages