Skip to content

Commit

Permalink
ci: update timing on execution runs to prevent API exhaustion (#51)
Browse files Browse the repository at this point in the history
Signed-off-by: Tierney Cyren <hello@bnb.im>
  • Loading branch information
bnb committed Jun 21, 2024
1 parent 687e584 commit 25f4d54
Show file tree
Hide file tree
Showing 25 changed files with 25 additions and 25 deletions.
2 changes: 1 addition & 1 deletion .github/workflows/contributors-monthly-twilio-labs.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Monthly Contributor Report (Twilio Labs)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 2 1 * *'

jobs:
contributor_report:
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/contributors-monthly-twilio-samples.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Monthly Contributor Report (Twilio Samples)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 2 1 * *'

jobs:
contributor_report:
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/contributors-monthly-twilio.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Monthly Contributor Report (Twilio)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 2 1 * *'

jobs:
contributor_report:
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-csharp.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-csharp)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-csharp)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-go.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-go)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-go)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-java.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-java)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-java)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-nodejs.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-nodejs)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-nodejs)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-php.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-php)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-php)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-python.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-python)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-python)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-sendgrid-ruby.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (sendgrid/sendgrid-ruby)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 4 1 * *'
jobs:
build:
name: Issue Metrics (sendgrid/sendgrid-ruby)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-cli.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-cli)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-cli)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-csharp.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-csharp)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-csharp)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-go.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-go)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-go)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-java.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-java)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-java)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-node.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-node)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-node)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-php.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-php)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-php)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-python.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-python)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-python)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/issue-metrics-twilio-ruby.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Issue Metrics (twilio/twilio-ruby)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 6 1 * *'
jobs:
build:
name: Issue Metrics (twilio/twilio-ruby)
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/retro-sendgrid.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Generate Retro (SendGrid)
on:
schedule:
# Run once a week at 00:00 AM UTC on Sunday.
- cron: 0 0 * * 0
- cron: 0 10 * * 0
# Run on demand via the GitHub UI
workflow_dispatch:

Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/retro-twilio-labs.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Generate Retro (Twilio Labs)
on:
schedule:
# Run once a week at 00:00 AM UTC on Sunday.
- cron: 0 0 * * 0
- cron: 0 11 * * 0
# Run on demand via the GitHub UI
workflow_dispatch:

Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/retro-twilio-samples.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Generate Retro (Twilio Samples)
on:
schedule:
# Run once a week at 00:00 AM UTC on Sunday.
- cron: 0 0 * * 0
- cron: 0 12 * * 0
# Run on demand via the GitHub UI
workflow_dispatch:

Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/retro-twilio.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Generate Retro (Twilio)
on:
schedule:
# Run once a week at 00:00 AM UTC on Sunday.
- cron: 0 0 * * 0
- cron: 0 13 * * 0
# Run on demand via the GitHub UI
workflow_dispatch:

Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/stale-repo-watchtower-twilio-labs.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Stale Repo Watchtower (Twilio Labs)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 20 1 * *'
jobs:
build:
name: Stale Repo Watchtower
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/stale-repo-watchtower-twilio-samples.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Stale Repo Watchtower (Twilio Samples)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 21 1 * *'
jobs:
build:
name: Stale Repo Watchtower
Expand Down
2 changes: 1 addition & 1 deletion .github/workflows/stale-repo-watchtower-twilio.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@ name: Stale Repo Watchtower (Twilio)
on:
workflow_dispatch:
schedule:
- cron: '0 0 1 * *'
- cron: '0 22 1 * *'
jobs:
build:
name: Stale Repo Watchtower
Expand Down

0 comments on commit 25f4d54

Please sign in to comment.