Skip to content

Commit

Permalink
Add chisel3 compatiblity stop()
Browse files Browse the repository at this point in the history
This should actually exit(0) rather than asserting, but at least
it allows code to compile and sort-of run.
  • Loading branch information
Andrew Waterman committed Jun 23, 2016
1 parent 8581a75 commit 257bd97
Showing 1 changed file with 5 additions and 0 deletions.
5 changes: 5 additions & 0 deletions src/main/scala/Assert.scala
Original file line number Diff line number Diff line change
Expand Up @@ -110,3 +110,8 @@ class Printf(condIn: Bool, formatIn: String, argsIn: Seq[Node]) extends PrintfBa
def cond: Node = inputs.last
def cond_=(x: Bool) { inputs(inputs.size-1) = x }
}

// Chisel3 compatibility
object stop {
def apply(): Unit = Module.current.assert(Bool(false), "stop")
}

0 comments on commit 257bd97

Please sign in to comment.