Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Chipyard-Standalone mode Raising FixedPoint Issues #69

Open
yoyo115956 opened this issue Jun 18, 2024 · 0 comments
Open

Chipyard-Standalone mode Raising FixedPoint Issues #69

yoyo115956 opened this issue Jun 18, 2024 · 0 comments

Comments

@yoyo115956
Copy link

Love this open source project, hopefully the author can help find what's wrong.

The test configurations runed in Chipyard-Standalone mode problems with FixPoint emerged.
Run the following commands from the inside the chipyard.

1.Run the command in the scripts folder.

./build-setup.sh riscv-tools # or esp-tools
.env
  1. Run the command in the chipyard/sims/vcs
    make SUB_PROJECT=constellation BINARY=none CONFIG=TestConfig00 run-binary-debug
The main code snippet that caused the error was all about FixedPoint:
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/DspRealTypeClass.scala:141:47: not found: type FixedPoint
[error]   def asFixed(a: DspReal, proto: FixedPoint): FixedPoint = {
[error]                                               ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/DspRealTypeClass.scala:141:34: not found: type FixedPoint
[error]   def asFixed(a: DspReal, proto: FixedPoint): FixedPoint = {
[error]                                  ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/convertible_types/ChiselConvertableFrom.scala:16:41: not found: type FixedPoint
[error]   def asFixed(a: A, proto: FixedPoint): FixedPoint
[error]                                         ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/convertible_types/ChiselConvertableFrom.scala:16:28: not found: type FixedPoint
[error]   def asFixed(a: A, proto: FixedPoint): FixedPoint
[error]                            ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/convertible_types/ChiselConvertableFrom.scala:17:22: not found: type FixedPoint
[error]   def asFixed(a: A): FixedPoint = throw DspException("As fixed needs prototype argument!")
[error]                      ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/FixedPointTypeClass.scala:18:13: not found: type FixedPoint
[error]   def zero: FixedPoint = 0.0.F(0.BP)
[error]             ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/FixedPointTypeClass.scala:18:30: value F is not a member of Double
[error]   def zero: FixedPoint = 0.0.F(0.BP)
[error]                              ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/FixedPointTypeClass.scala:18:34: value BP is not a member of Int
[error]   def zero: FixedPoint = 0.0.F(0.BP)
[error]                                  ^
[error] /home/yoyolee/chipyard/tools/dsptools/src/main/scala/dsptools/numbers/chisel_types/FixedPointTypeClass.scala:19:13: not found: type FixedPoint
[error]   def one:  FixedPoint = 1.0.F(0.BP)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant