Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Zybo z7 #92

Open
mehr74 opened this issue Jul 23, 2018 · 4 comments
Open

Zybo z7 #92

mehr74 opened this issue Jul 23, 2018 · 4 comments

Comments

@mehr74
Copy link

mehr74 commented Jul 23, 2018

I want to run RISC-V rocket-chip on Zynq FPGA Board (Zybo Z7), what are the constraints I have to add to the project?

@yuliu2015
Copy link

I'm also trying to run the rocket core on Zybo Z7 (part no: XC7Z010-1CLG400C) without success using the pre-built. Seems we need to re-build from scratch with the new Zybo Z7 board constrain file (provided by Digilent) in vivado.

@mehr74
Copy link
Author

mehr74 commented Aug 31, 2018

I tried to build from scratch as well but I could not be able to run any program on the FPGA. Please let me know if you did that.

@JeremieMelo
Copy link

I'm also trying to run the rocket core on Zybo Z7 (part no: XC7Z010-1CLG400C) without success using the pre-built. Seems we need to re-build from scratch with the new Zybo Z7 board constrain file (provided by Digilent) in vivado.

I am using zybo-z7-20, I can boot linux from ramdisk, but cannot use fesvr-zynq to communicate with rocket core. How about your project?

@gongqingfeng
Copy link

gongqingfeng commented Jun 3, 2019

I'm also trying to run the rocket core on Zybo Z7 (part no: XC7Z010-1CLG400C) without success using the pre-built. Seems we need to re-build from scratch with the new Zybo Z7 board constrain file (provided by Digilent) in vivado.

I am using zybo-z7-20, I can boot linux from ramdisk, but cannot use fesvr-zynq to communicate with rocket core. How about your project?

I meet the same problem with you. I am using zybo and I can boot linux from Ramdisk. However, I can't run "./fesvr-zynq pk hello" successfully: I can't get any response from the command line, that is, arm cores can't communicate with rocket core.
Do you solve this problem? Thank you very much!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

4 participants