Skip to content

Commit

Permalink
Remove DO_RETRO flag.
Browse files Browse the repository at this point in the history
  • Loading branch information
danielabdi-noaa committed Mar 24, 2023
1 parent dba1c0f commit 742846b
Show file tree
Hide file tree
Showing 3 changed files with 12 additions and 17 deletions.
24 changes: 12 additions & 12 deletions parm/FV3LAM_wflow.xml
Original file line number Diff line number Diff line change
Expand Up @@ -308,7 +308,7 @@ tasks; and the "FCST" type is used for the TN_RUN_FCST task.
{%- endif %}

<!-- FROM RRFS_dev1 -->
{% if do_retro %}
{% if not do_real_time %}
<!ENTITY DEADLINE_PRE "999:00:00">
<!ENTITY DEADLINE_ANAL "999:00:00">
<!ENTITY DEADLINE_FCST "999:30:00">
Expand All @@ -335,7 +335,7 @@ tasks; and the "FCST" type is used for the TN_RUN_FCST task.
<!ENTITY START_TIME_LIGHTNINGNC "{{ start_time_lightningnc }}">
<!ENTITY START_TIME_PROCSMOKE "{{ start_time_procsmoke }}">

{%- if do_retro %}
{%- if not do_real_time %}
<!ENTITY WALL_LIMIT_PRE ''>
<!ENTITY WALL_LIMIT_ANAL ''>
<!ENTITY WALL_LIMIT_FCST ''>
Expand Down Expand Up @@ -373,7 +373,7 @@ tasks; and the "FCST" type is used for the TN_RUN_FCST task.
************************************************************************
-->

{%- if do_retro %}
{%- if not do_real_time %}
<workflow realtime="F" scheduler="&SCHED;" cyclethrottle="200" taskthrottle="{{ taskthrottle }}">
{% else %}
<workflow realtime="T" scheduler="&SCHED;" cyclethrottle="24" taskthrottle="{{ taskthrottle }}" cyclelifespan="01:00:00:00">
Expand Down Expand Up @@ -1120,7 +1120,7 @@ MODULES_RUN_TASK_FP script.
<envar><name>GDASENKF_INPUT_SUBDIR</name><value>#subdirGDAS#</value></envar>
<envar><name>GDAS_MEM_NAME</name><value>#memNameGDAS#</value></envar>

{%- if not do_retro %}
{%- if do_real_time %}
<dependency>
{%- if machine in ["WCOSS2"] %}
{%- if fv3gfs_file_fmt_ics in ["netcdf"] %}
Expand Down Expand Up @@ -1209,7 +1209,7 @@ MODULES_RUN_TASK_FP script.
<envar><name>GDASENKF_INPUT_SUBDIR</name><value>#subdirGDAS#</value></envar>
<envar><name>GDAS_MEM_NAME</name><value>#memNameGDAS#</value></envar>

{%- if not do_retro %}
{%- if do_real_time %}
<dependency>
<and>
{%- for h in range(extrn_mdl_lbcs_offset_hrs, boundary_len_hrs+extrn_mdl_lbcs_offset_hrs+1, lbc_spec_intvl_hrs) %}
Expand Down Expand Up @@ -1302,7 +1302,7 @@ MODULES_RUN_TASK_FP script.
<envar><name>GDASENKF_INPUT_SUBDIR</name><value>#subdirGDAS#</value></envar>
<envar><name>GDAS_MEM_NAME</name><value>#memNameGDAS#</value></envar>

{%- if not do_retro %}
{%- if do_real_time %}
<dependency>
<and>
{%- for h in range(extrn_mdl_lbcs_offset_hrs, boundary_long_len_hrs+extrn_mdl_lbcs_offset_hrs+1, lbc_spec_intvl_hrs) %}
Expand Down Expand Up @@ -1521,7 +1521,7 @@ MODULES_RUN_TASK_FP script.
<metataskdep metatask="&TN_MAKE_LBCS;{{ uscore_ensmem_name }}" cycle_offset="-{{ h }}:00:00"/>
{%- endfor %}
</or>
{%- if do_retro %}
{%- if not do_real_time %}
{%- if not do_ensemble %}
<datadep age="00:00:10:00"><cyclestr offset="00:00:00">&FG_ROOT;/@Y@m@d@H/{{ slash_ensmem_subdir }}/fcst_fv3lam/INPUT/gfs_ctrl.nc</cyclestr></datadep>
{%- endif %}
Expand All @@ -1534,7 +1534,7 @@ MODULES_RUN_TASK_FP script.
{%- for h in cycl_hrs_spinstart %}
<strneq><left>{{ h }}</left><right><cyclestr>@H</cyclestr></right></strneq>
{%- endfor %}
{%- if do_retro %}
{%- if not do_real_time %}
<datadep age="00:00:01:00"><cyclestr offset="-{{ da_cycle_interv }}:00:00">&FG_ROOT;/@Y@m@d@H/{{ slash_ensmem_subdir }}/fcst_fv3lam_spinup/RESTART/</cyclestr><cyclestr>@Y@m@d.@H0000.coupler.res</cyclestr></datadep>
{%- else %}
<timedep><cyclestr offset="&START_TIME_CONVENTIONAL_SPINUP;">@Y@m@d@H@M00</cyclestr></timedep>
Expand Down Expand Up @@ -2402,7 +2402,7 @@ MODULES_RUN_TASK_FP script.
{%- endfor %}
</or>
{%- if do_spinup %}
{%- if do_retro %}
{%- if not do_real_time %}
<datadep age="00:00:01:00"><cyclestr offset="-{{ da_cycle_interv }}:00:00">&FG_ROOT;/@Y@m@d@H{{ slash_ensmem_subdir }}/fcst_fv3lam_spinup/RESTART/</cyclestr><cyclestr>@Y@m@d.@H0000.coupler.res</cyclestr></datadep>
{% else %}
<or>
Expand All @@ -2421,7 +2421,7 @@ MODULES_RUN_TASK_FP script.
</or>
{%- endif %}
{% else %}
{%- if do_retro %}
{%- if not do_real_time %}
<and>
<datadep age="00:00:05:00"><cyclestr>&FG_ROOT;/@Y@m@d@H{{ slash_ensmem_subdir }}/ics/gfs_data.tile7.halo0.nc</cyclestr></datadep>
<or>
Expand All @@ -2447,7 +2447,7 @@ MODULES_RUN_TASK_FP script.
{%- for h in cycl_hrs_prodstart %}
<strneq><left>{{ h }}</left><right><cyclestr>@H</cyclestr></right></strneq>
{%- endfor %}
{%- if do_retro %}
{%- if not do_real_time %}
<datadep age="00:00:01:00"><cyclestr offset="-{{ da_cycle_interv }}:00:00">&FG_ROOT;/@Y@m@d@H{{ slash_ensmem_subdir }}/fcst_fv3lam/RESTART/</cyclestr><cyclestr>@Y@m@d.@H0000.coupler.res</cyclestr></datadep>
{% else %}
<or>
Expand Down Expand Up @@ -2729,7 +2729,7 @@ MODULES_RUN_TASK_FP script.
<dependency>
<and>
<taskdep task="&TN_RUN_ENKFUPDT;"/>
{%- if do_retro %}
{%- if not do_real_time %}
<and>
{%- for m in range(1, num_ens_members+1) %}
<datadep age="00:00:00:01"><cyclestr>&NWGES_BASEDIR;/@Y@m@d@H/mem{{ "%04d" % m }}/observer_gsi/diag_conv_rw_ges.@Y@m@d@H.nc4</cyclestr></datadep>
Expand Down
1 change: 0 additions & 1 deletion ush/config.rrfs.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -53,7 +53,6 @@ rrfs:
DO_DACYCLE: false # turn on later
DO_SURFACE_CYCLE: false # turn on later
DO_SPINUP: false # turn on later
DO_RETRO: true
DO_NONVAR_CLDANAL: false # turn on later
DO_REFL2TTEN: false
DO_NLDN_LGHT: false
Expand Down
4 changes: 0 additions & 4 deletions ush/config_defaults.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -1433,9 +1433,6 @@ rrfs:
# Flag to turn on RRFS dev workflow. Should be removed
# in the future once SRW and RRFS dev workflows are completely integrated
#
# DO_RETRO:
# Flag turn on the retrospective experiments.
#
# DO_SPINUP:
# Flag turn on the spin-up cycle.
#
Expand All @@ -1448,7 +1445,6 @@ rrfs:
#-----------------------------------------------------------------------
#
DO_RRFS_DEV: false # Turn this on to test RRFS dev workflow
DO_RETRO: true # Turn this on temporarily
DO_POST_PROD: false # Turn this off temporarily
DO_SPINUP: false
DO_POST_SPINUP: false
Expand Down

0 comments on commit 742846b

Please sign in to comment.